Welcome Guest ( Log In | Register )

Outline · [ Standard ] · Linear+

 Computer Engineering Thread, # 67 members already :D #

views
     
TScharge-n-go
post Oct 12 2005, 03:03 AM, updated 19y ago

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

4 Simple Rules :

1. Post anything related engineering. It can be electronic stuff, gate level stuff or architectural discussion on any computer components.

2. If you don't know anything about engineering, please do not comment here, js read the posts by other members and try to understand them. Well, you can always ask questions if u don't understand smile.gif

3. Questions related to engineering can be asked. No question such as "Is this processor good or not?". Of course you can ask :"Why this proc A is better than proc B?", but expect the answers are in term of engineering.

4. Bump this topic if it's going dead tongue.gif

Well, basically this is a thread for e&e engineering professionals, graduates and undergraduates to discuss some new technology.


COMPUTER / ELECTRONICS ENGINEERING MEMBERS :
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~

1. Silkworm
2. Remysix
3. ikanayam
4. empire23
5. winc87
6. iZuDeeN
7. X10A Freedom
8. nerd nation
9. Tingwc84
10.splitfire
11. nUtZ`
12. Mavik
13. draggy
14. Pillage2001
15. CloudX
16. cafuheva
17. silllver
18. kramuse
19. witchhunter
20. Kagaya
21. ben_panced
22. zt_lee
23. ijan
24. unitron
25. Snoy
26. sooyewguan
27. igor_is300
28. halo
29. zybler
30. martianunlimited
31. Beach_Boy®
32. LaR_c
33. siaokia
34. pakau
35. fridaynite
36. boxsystem
37. stanum
38. pukarix
39. hao
40. LJS
41. Survivor
42. sieg_wahrheit
43. Demon_Eyes_Kyo
44. WhatCanIdo
45. harrychoo
46. Annie
47. `TauFun
48. knight
49. yuyuyu
50. shouta
51. [ r u g a ]
52. NEO.rage
53. shadow_dweller
54. jojoko1982
55. boom_bread
56. wilson88
57. sohkeong
58. lgh
59. bysquashy
60. kUba
61. xavier99
62. william4835
63. ashraff88
64. 8066
65. wilson88
66. speedguy10

and me myself biggrin.gif

This post has been edited by charge-n-go: Dec 19 2006, 11:22 PM
TScharge-n-go
post Oct 12 2005, 03:09 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Ok, I'll start this thread with a question tongue.gif

I'm stuck at Carry Select Adder (diagram attached).

1. I wonder what is inside the Carry Propagation Logic to compute the Carry Out.

2. Where is the input port X & Y? I really have no idea.

3. For the 4-bit setup (0-3), how the 'Carry' logic works? Is the 1-bit stage linked together via ripple or carry lookahead method?


Thanx for the help !

This post has been edited by charge-n-go: Oct 12 2005, 03:26 AM


Attached thumbnail(s)
Attached Image
ikanayam
post Oct 12 2005, 07:48 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Attached Image
To keep things simple, you can just use a adder setups of your choice for the 0-Carry and 1-Carry units (simplest would be a ripple carry adder since the bounding factor will be the latency through the muxes anyway). I am greatly simplifying the design, not using the "Setup" units at all. Refer to the attached picture above for my description.

Basically for each stage (4bits in this case) you have 2 adders, one computing the result for Cin = 0 while the other is computing the result for Cin = 1. The 4 bit output + 1bit Cout from each adder go into the mux which selects which output to use depending on the Cin. So the muxes are 10 bit inputs and 5 bit outputs.

If you already understand what i described, you can of course optimize the design by moving redundant hardware into the Setup unit and separating the "Carry" units as shown in your picture.

Your picture is not exactly intuitive. The muxes are not exactly at the same level time wise (if you imagine the actual circuit working). This is because the C4 is actually a result coming out from the 1st mux. C8 is the carry result coming out from the 2nd mux, and so on. I show this in my picture.

It's an expensive design (uses lots of transistors), and it's not really useful for small adders.
TScharge-n-go
post Oct 12 2005, 11:05 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Thanx ikanayam. Your design saves some transistor at the setup stage biggrin.gif

I was thinking if the setup stage and the Carry logic has something to do with the Carry Look Ahead algorithm.

Setup : P = A+B and G = A.B

Carry Propagate : Cout = P.Cin + G

btw, I need to test on the Carry Select Adder and see how many transistor and the critical path taken for an 8-bit setup, and also if it is bulky when expands to 32-bit in the future. My current design is using two 4-bit CLA link together with ripple carry, i'm afraid when expand it to 32-bit it will be quite slow and bulky.

Here's my CLA + RCA design. The MUX is placed at the input port to select 1 of the 3 sources using the adder (register, multiplier and divider). DEMUX is placed at the output port to do the same function.




Attached thumbnail(s)
Attached Image
ikanayam
post Oct 12 2005, 11:14 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

why do you have demuxes at the ouput? looks redundant to me. I'm not sure how your design is supposed to be, but can't you just wire the outputs to whichever circuit you want them as inputs?
TScharge-n-go
post Oct 12 2005, 06:46 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(ikanayam @ Oct 12 2005, 11:14 AM)
why do you have demuxes at the ouput? looks redundant to me. I'm not sure how your design is supposed to be, but can't you just wire the outputs to whichever circuit you want them as inputs?
*
Yup, you are correct, DEMUX looks redundant.

Last time i don't have the 'EN' line for other functional units such as multiplier and divider, so hv to use DEMUX to choose the output. This semester i suppose to minimize the gates and critical path, at the same time remains some good speed.

Sigh.. 1st time doing this kinda thing, many problems here and there. I'm sure you are expert liao fishchicken thumbup.gif


* Who's studying electronic engineering course pls report in here.
We can help each other in any difficulties in engineering wink.gif


AFAIK, only a few are taking this course :
ikanayam, silkworm, empire23, winc87, charge-n-go....

Who else, mari mari lapor diri biggrin.gif

This post has been edited by charge-n-go: Oct 12 2005, 06:46 PM
ikanayam
post Oct 12 2005, 07:04 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

silky is a veteran already... no more taking courses like us n00bs heh.

Btw your multiplier and divider are utilizing the same adder circuit using shift and add/subtract? Or they are completely separate circuits?
TScharge-n-go
post Oct 12 2005, 07:27 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Ohh.. really? He's now working or taking master degree? Maybe taking PhD liao, hahaha.

Ya, my multiplier and divider is using the same Adder/Subtractor with some shifting logic.

btw, how's yr ALU going on fishy?

Well, this is the datapath i designed last semester with another team mate.


Attached thumbnail(s)
Attached Image
remysix
post Oct 12 2005, 07:32 PM

Hardcore Leecher!!!
******
Senior Member
1,311 posts

Joined: Jan 2003
From: Puchong/Deep Beach


i took computer engineering...about 7 years back...since then, i never use the knowledge in my work life...my work more towards marketing and business...hehe...u r saying u guys are noob...i think i'm noober than u guys r...
sorry for posting non relevant post...

This post has been edited by remysix: Oct 12 2005, 07:35 PM
TScharge-n-go
post Oct 12 2005, 07:39 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(remysix @ Oct 12 2005, 07:32 PM)
i took computer engineering...about 7 years back...since then, i never use the knowledge in my work life...my work more towards marketing and business...hehe...u r saying u guys are noob...i think i'm noober than u guys r...
sorry for posting non relevant post...
*
icic, nvm. Pls advice us if anything we do is wrong. Added you into the list wink.gif
cafuheva
post Oct 12 2005, 07:47 PM

Newbie
******
Senior Member
1,188 posts

Joined: Jan 2003

who know how to use Silvaco here?
iZuDeeN
post Oct 12 2005, 08:12 PM

Look at all my stars!!
*******
Senior Member
3,466 posts

Joined: Jan 2003
From: PJ, Malaysia
BEM #46370R
branch ELECTRONIC
X10A Freedom
post Oct 12 2005, 09:43 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


EE student, but more into digital systems and VHDL/Verilog programming

anyways charge-n-go, i suggest adding registers on the input and output if you're gonna implement this on a real board(or FPGA for the matter) unless this is just a theoratical(gate level + simulation) assignment and nothing more

p/s: anyone heard of Viterbi decoder or Convolutional Coding Encoder? got tough time understanding it...

This post has been edited by X10A Freedom: Oct 12 2005, 09:47 PM
nerd nation
post Oct 12 2005, 10:16 PM

Trance addict
******
Senior Member
1,440 posts

Joined: Jan 2003
From: Ipoh


Computer system engineering here. I studied the adder, digital logics stuff u guys were talking about last semester. but now forgotten everything. haha.. gotta search for the notes to refresh everything.

btw, anyone familiars with discreet signal processing?

This post has been edited by nerd nation: Oct 12 2005, 10:28 PM
Tingwc84
post Oct 12 2005, 11:53 PM

Getting Started
**
Junior Member
263 posts

Joined: Jan 2003
From: Puchong


UM Electrical Engineering Undergrad here.
Count or not tongue.gif

This post has been edited by Tingwc84: Oct 12 2005, 11:56 PM
winc87
post Oct 13 2005, 12:11 AM

w|Nc
******
Senior Member
1,621 posts

Joined: Jan 2003
From: Seremban



Hmm, electronic engineering first year 2nd semester. Lecturers have juz started teaching digital logic gates. tongue.gif
splitfire
post Oct 13 2005, 01:50 AM

Casual
***
Junior Member
475 posts

Joined: Jun 2005
mmu gamma year EnE.....but i know nothing,except V=IR
ikanayam
post Oct 13 2005, 02:05 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Oct 12 2005, 06:27 AM)
Ohh.. really? He's now working or taking master degree? Maybe taking PhD liao, hahaha.

Ya, my multiplier and divider is using the same Adder/Subtractor with some shifting logic.

btw, how's yr ALU going on fishy?

Well, this is the datapath i designed last semester with another team mate.
*
Hm... you can integrate your shifting logic into one of the input registers directly if you don't need a barrel shifter. You just have to loop back the connection into a mux and skew the bits left or right by 1. If you need to shift, just select the mux input with the skewed bits. Need 2 shifts? Clock it twice. Of course if single cycle shift performance is important thing then you need a barrel shifter.

Another idea is that you can make the outputs to your multiplier/divider 8 bits wide externally. That way you can make your large mux only 8 bits wide and save a lot of logic there. The key is to output the results in 2 clocks.

silkworm is working, not studying anymore. that was the last time i checked anyway heh.
ikanayam
post Oct 13 2005, 05:53 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Ok i got a question, anyone know if it is possible use/modify an adder/subtractor unit to do AND and OR operations on the inputs? I'm talking about a minimal alteration. Trying to get rid of the mux on the output...
nUtZ`
post Oct 13 2005, 08:58 AM

Regular
******
Senior Member
1,868 posts

Joined: Jan 2003
Have fun with propogation delay... wink.gif looks like the cct can run about 200MHz at most..

nothing to add here cause i'm late for work.. tongue.gif
X10A Freedom
post Oct 13 2005, 09:46 AM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(nerd nation @ Oct 12 2005, 10:16 PM)

btw, anyone familiars with discreet signal processing?
*
isn't this under Digital Signal Processing topic? only know a bit(coz it's not part of my module tongue.gif)
silkworm
post Oct 13 2005, 10:11 AM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(ikanayam @ Oct 13 2005, 05:53 AM)
Ok i got a question, anyone know if it is possible use/modify an adder/subtractor unit to do AND and OR operations on the inputs? I'm talking about a minimal alteration. Trying to get rid of the mux on the output...
*
Trying to make an ALU? Search for gate level schematics for the 74181 4-bit ALU, it's been copied to death by now so I guess that means it's pretty optimal smile.gif
TScharge-n-go
post Oct 13 2005, 11:24 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(X10A Freedom @ Oct 12 2005, 09:43 PM)
anyways charge-n-go, i suggest adding registers on the input and output if you're gonna implement this on a real board(or FPGA for the matter) unless this is just a theoratical(gate level + simulation) assignment and nothing more

p/s: anyone heard of Viterbi decoder or Convolutional Coding Encoder? got tough time understanding it...
*
Thanx for the advice. I'm not sure if i wanna make into FPGA or not. THe primary goal is to come out a workable design under simulation, secondary is the FPGA implementation on Altera chip.
I've no idea about Convolution Coding Encoder tongue.gif


QUOTE(ikanayam @ Oct 13 2005, 02:05 AM)
Hm... you can integrate your shifting logic into one of the input registers directly if you don't need a barrel shifter. You just have to loop back the connection into a mux and skew the bits left or right by 1. If you need to shift, just select the mux input with the skewed bits. Need 2 shifts? Clock it twice. Of course if single cycle shift performance is important thing then you need a barrel shifter.

Another idea is that you can make the outputs to your multiplier/divider 8 bits wide externally. That way you can make your large mux only 8 bits wide and save a lot of logic there. The key is to output the results in 2 clocks.
*
yup, I'm using Shift Register as input, but not barrel shifter. Multiply and Divide js need to shift 1 bit to left or right, so i js make it to shift when there's a clock. Well, I'm still thinking how to optimize the multiplier bcoz it takes me 16 cycles for an 8-bit MUL.


QUOTE(nUtZ` @ Oct 13 2005, 08:58 AM)
Have fun with propogation delay... wink.gif looks like the cct can run about 200MHz at most..
*
hehe, wat's CCT? notworthy.gif
silkworm
post Oct 13 2005, 11:29 AM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(charge-n-go)
hehe, wat's CCT?
That's the lazy man's way of writing circuit. biggrin.gif

TScharge-n-go
post Oct 13 2005, 11:31 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(ikanayam @ Oct 13 2005, 05:53 AM)
Ok i got a question, anyone know if it is possible use/modify an adder/subtractor unit to do AND and OR operations on the inputs? I'm talking about a minimal alteration. Trying to get rid of the mux on the output...
*
Here you go ikanayam. That's my logic unit implementation to save some gates and also propagation delay.

The left one is my implementation, the right one is the conventional logic unit using MUX.


Attached thumbnail(s)
Attached Image
pillage2001
post Oct 13 2005, 11:34 AM

Regular
******
Senior Member
1,992 posts

Joined: Jan 2003
From: Kalamazoo, MI
Wow, you guys are good. Haven't been touching those since I got out of college two years ago....

This post has been edited by pillage2001: Oct 13 2005, 11:37 AM
TScharge-n-go
post Oct 13 2005, 11:35 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(silkworm @ Oct 13 2005, 11:29 AM)
QUOTE(charge-n-go)
hehe, wat's CCT?
That's the lazy man's way of writing circuit. biggrin.gif
*
lol, i got it now.

anyway, which adder do u think is more appropriate for my design.

8-bit Adder, might be expand to 16-bit or 32-bit in the future. Need to have a balance of power, die size and performance.

Currenly I'm using 2x 4-bit CLA ripple together to be an 8-bit one. I'm not sure if it is efficient enough when scale up to 32-bit.

I have 2 more choices : Carry Select Adder & 1 level CLA.

So which do u think is a better approach?
btw, I still have no idea to start designing a carry select adder, hahaha. Need to figure it out by today.
ikanayam
post Oct 13 2005, 12:05 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Oct 12 2005, 10:24 PM)
yup, I'm using Shift Register as input, but not barrel shifter. Multiply and Divide js need to shift 1 bit to left or right, so i js make it to shift when there's a clock. Well, I'm still thinking how to optimize the multiplier bcoz it takes me 16 cycles for an 8-bit MUL.
hehe, wat's CCT?  notworthy.gif
*
Well you can read up on booth encoding and canonical encoding, those might save you maybe a cycle or so, but if you want something really fast then you need to use an array multiplier/divider. Takes up a lot of extra hardware, but you can do the multiply/divide in a single clock (or maybe just a couple of clocks depending on implementation).
Mavik
post Oct 13 2005, 12:10 PM

Patience is a virtue
Group Icon
Elite
7,826 posts

Joined: Jan 2003



Hi, I am an E&E graduate as well and have been working for over a year. So far honestly what you guys have been discussing is something i am totally lost since I majored mostly in semiconductors. Even working as a R&D engineer, I hardly use any of those bits of electronics knowledge.

As for Discrete Signal processing, I think I can still remember some bits of my old Digital signal processing lectures though. Any questions about that?
silkworm
post Oct 13 2005, 12:20 PM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(Mavik @ Oct 13 2005, 12:10 PM)
Hi, I am an E&E graduate as well and have been working for over a year. So far honestly what you guys have been discussing is something i am totally lost since I majored mostly in semiconductors. Even working as a R&D engineer, I hardly use any of those bits of electronics knowledge.

As for Discrete Signal processing, I think I can still remember some bits of my old Digital signal processing lectures though. Any questions about that?
*
I remember you... a couple of years back there was another bunch of EE students on LYN and we had threads like this too. You were at Newcastle, right? Graduated already... makes me feel even more like a dinosaur. tongue.gif
ikanayam
post Oct 13 2005, 12:36 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Thx for the tip on the ALU charge-n-go. I decided against integrating the AND and OR functions into my adder because it will make it easier for me to optimize the adder when i get down to the transistor level.

QUOTE(charge-n-go @ Oct 12 2005, 10:35 PM)
lol, i got it now.

anyway, which adder do u think is more appropriate for my design.

8-bit Adder, might be expand to 16-bit or 32-bit in the future. Need to have a balance of power, die size and performance.

Currenly I'm using 2x 4-bit CLA ripple together to be an 8-bit one. I'm not sure if it is efficient enough when scale up to 32-bit.

I have 2 more choices : Carry Select Adder & 1 level CLA.

So which do u think is a better approach?
btw, I still have no idea to start designing a carry select adder, hahaha. Need to figure it out by today.
*
you can't really design an adder that's optimal for all sizes, because different adder types are more efficient for different adder sizes.
1 level CLA will be ridiculously big and unrealistic. You don't want to use gates with too many inputs. You might want to look into block CLA designs. They are much more efficient size wise and pretty fast.

Actually a well designed 2 level carry skip adder can be very fast and small compared to a lot of other designs. The trick is to get the skip blocks done right. You have to read up on how to determine the optimal skip block sizes.

Carry select adders are very hardware intensive, you end up about 3 times the amount of hardware as a simple adder. The speedup is pretty nice though.
ikanayam
post Oct 13 2005, 12:44 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(Mavik @ Oct 12 2005, 11:10 PM)
Hi, I am an E&E graduate as well and have been working for over a year. So far honestly what you guys have been discussing is something i am totally lost since I majored mostly in semiconductors. Even working as a R&D engineer, I hardly use any of those bits of electronics knowledge.

As for Discrete Signal processing, I think I can still remember some bits of my old Digital signal processing lectures though. Any questions about that?
*
That's the beauty of ECE, it's such a wide field. From software to hardware to physics. My focus is mostly in digital logic design and this stuff is what i love most. Smaller better faster.
TScharge-n-go
post Oct 13 2005, 12:53 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

ikanayam, thanx for yr advice. Well, i think i'll do some research on all kinds of adder. U know what, when i get the material online, cant really understand the transistor leve diagram bcoz i nv learnt b4 (pathetic ler my uni, nv teach tongue.gif)

QUOTE(ikanayam @ Oct 13 2005, 12:44 PM)
That's the beauty of ECE, it's such a wide field. From software to hardware to physics. My focus is mostly in digital logic design and this stuff is what i love most. Smaller better faster.
*
Hehehe, we got the same taste. I m a noob in physical electronics stuff.
ikanayam
post Oct 13 2005, 12:58 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Oct 12 2005, 11:53 PM)
ikanayam, thanx for yr advice. Well, i think i'll do some research on all kinds of adder. U know what, when i get the material online, cant really understand the transistor leve diagram bcoz i nv learnt b4 (pathetic ler my uni, nv teach tongue.gif)
Hehehe, we got the same taste. I m a noob in physical electronics stuff.
*
Transistor level circuits are pretty different from gate level circuits and generally they can be optimized a lot more. They don't always directly map to gate level stuff (OR gates might simply be replaced by wires joined together), so you do not want to look into the the transistor vs gate level stuff too much and get yourself confused.
TScharge-n-go
post Oct 13 2005, 01:13 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(ikanayam @ Oct 13 2005, 12:58 PM)
Transistor level circuits are pretty different from gate level circuits and generally they can be optimized a lot more. They don't always directly map to gate level stuff (OR gates might simply be replaced by wires joined together), so you do not want to look into the the transistor vs gate level stuff too much and get yourself confused.
*
I dunno anything like tat, hahah

btw, here's some stuff i get online thumbup.gif


Attached thumbnail(s)
Attached Image
ikanayam
post Oct 13 2005, 01:25 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Oct 13 2005, 12:13 AM)
I dunno anything like tat, hahah

btw, here's some stuff i get online  thumbup.gif
*
Yeah, take a look at the carry skip/bypass adder, it's only a bit bigger and it's a nice speed improvement. You might want to look into that.
TScharge-n-go
post Oct 13 2005, 01:39 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Yeah, but there is very little speed improvement at 8-bit, so don't think I can choose that. The project primary goal is to have a nice adder at 8-bit level, expandable nicely to higher bit is optional actually.

But i think Carry Select is out of question bcoz it's huge and takes a lot of power. Left only with CLA 1 level and my current design (4-bit CLA per block and ripple them together).
Cloudx
post Oct 13 2005, 02:40 PM

(╯°□°)╯︵ ┻━┻
******
Senior Member
1,521 posts

Joined: Oct 2004
From: Island where you get pearl


E&E Student here but taking Dip only sweat.gif
And still dont understand what my lecture teaches me sweat.gif
X10A Freedom
post Oct 13 2005, 05:40 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Oct 13 2005, 11:35 AM)
lol, i got it now.

anyway, which adder do u think is more appropriate for my design.

8-bit Adder, might be expand to 16-bit or 32-bit in the future. Need to have a balance of power, die size and performance.

Currenly I'm using 2x 4-bit CLA ripple together to be an 8-bit one. I'm not sure if it is efficient enough when scale up to 32-bit.

I have 2 more choices : Carry Select Adder & 1 level CLA.

So which do u think is a better approach?
btw, I still have no idea to start designing a carry select adder, hahaha. Need to figure it out by today.
*
if i recall properly(kinda rusty a bit thx to those superb EDA tools that help u do the work), people normally use carry select in small scale(4 to 8 bits) and use CLA to cascade/expand to bigger word size(it's kinda optimized between speed and size)
don't bother about the input gates first, coz for me, i'll derive out the gates and switch all of them to NAND gate(or NOR, can be done using EDA tools). coz if you use too much different gates(AND, OR, NOR, NOT) together, you incur much higher cost(imagine the amount of ICs u need to use)

This post has been edited by X10A Freedom: Oct 13 2005, 05:41 PM
ikanayam
post Oct 13 2005, 06:31 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Oct 13 2005, 04:40 AM)
if i recall properly(kinda rusty a bit thx to those superb EDA tools that help u do the work), people normally use carry select in small scale(4 to 8 bits) and use CLA to cascade/expand to bigger word size(it's kinda optimized between speed and size)
don't bother about the input gates first, coz for me, i'll derive out the gates and switch all of them to NAND gate(or NOR, can be done using EDA tools). coz if you use too much different gates(AND, OR, NOR, NOT) together, you incur much higher cost(imagine the amount of ICs u need to use)
*
Hm... not sure i'm really getting what you're saying... why would using different gates incur higher cost? You can probably use less gates in total if you can use different gates than just 1 gate to generate all functions right?
draggy
post Oct 13 2005, 06:36 PM

Getting Started
**
Junior Member
200 posts

Joined: Mar 2005


my fav e&e forum:

CODE

www.edaboard.com


all your needs is there
Ash
post Oct 13 2005, 06:45 PM

Legend
******
Senior Member
1,697 posts

Joined: Jan 2003
From: KL




am i allowed to ask some education related questions here?
TScharge-n-go
post Oct 13 2005, 07:36 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(X10A Freedom @ Oct 13 2005, 05:40 PM)
if i recall properly(kinda rusty a bit thx to those superb EDA tools that help u do the work), people normally use carry select in small scale(4 to 8 bits) and use CLA to cascade/expand to bigger word size(it's kinda optimized between speed and size)
don't bother about the input gates first, coz for me, i'll derive out the gates and switch all of them to NAND gate(or NOR, can be done using EDA tools). coz if you use too much different gates(AND, OR, NOR, NOT) together, you incur much higher cost(imagine the amount of ICs u need to use)
*
alrites, thanx for your advice biggrin.gif

QUOTE(ikanayam @ Oct 13 2005, 06:31 PM)
Hm... not sure i'm really getting what you're saying... why would using different gates incur higher cost? You can probably use less gates in total if you can use different gates than just 1 gate to generate all functions right?
*
I think it's bcoz each IC have an array of same gates, so if we mix the gates, we need a few ICs to construct a circuit. Besides, from one of the book i borrowed from library (not with me now), it says the NAND and NOR gate has lower latency compare to AND, OR and XOR. Well, all these is based on IC design, not sure about FPGA thou.

Thanx draggy for the info, and Ash, u can ask the question here as long as it's related to engineering smile.gif
nUtZ`
post Oct 13 2005, 07:37 PM

Regular
******
Senior Member
1,868 posts

Joined: Jan 2003
QUOTE(ikanayam @ Oct 13 2005, 06:31 PM)
Hm... not sure i'm really getting what you're saying... why would using different gates incur higher cost? You can probably use less gates in total if you can use different gates than just 1 gate to generate all functions right?
*
Its best to use NAND gates because (IIRC) it uses about 3 transistors to design it.. By mixing up all the gates, it makes it harder to manufacture. By having only a single NAND, it is easier to organize the transistor to have optimal routing between the gates. It is easier to make the mask for the cct too..

Another point about only using NAND is the simplification in calculating the propogational delay with in the cct. hence easier to clock it up..
TScharge-n-go
post Oct 13 2005, 07:43 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(nUtZ` @ Oct 13 2005, 07:37 PM)
Its best to use NAND gates because (IIRC) it uses about 3 transistors to design it.. By mixing up all the gates, it makes it harder to manufacture. By having only a single NAND, it is easier to organize the transistor to have optimal routing between the gates. It is easier to make the mask for the cct too..

Another point about only using NAND is the simplification in calculating the propogational delay with in the cct. hence easier to clock it up..
*
Well, that's new info to me biggrin.gif

Anyway, do you know how many transistors in AND, OR, NOT and XOR?
Do u know of any good websites about all these things.? notworthy.gif
nUtZ`
post Oct 13 2005, 07:56 PM

Regular
******
Senior Member
1,868 posts

Joined: Jan 2003
QUOTE(charge-n-go @ Oct 13 2005, 07:43 PM)
Well, that's new info to me biggrin.gif

Anyway, do you know how many transistors in AND, OR, NOT and XOR?
Do u know of any good websites about all these things.?  notworthy.gif
*
ah i just remembered... look up sendra and smith - microelectronic circut. NOR and NAND gates has 4 cmos transistor.. AND and OR gates are basically NOR/NAND inverted.

I can't really remember why you would use the NAND gate rather then NOR gate but it has to do with the Pull up and Pull down. Probably ask silky about this.. i haven't touch these stuff for 4 years already... now i deal solely on with microsoft products.. wink.gif

winc87
post Oct 13 2005, 08:20 PM

w|Nc
******
Senior Member
1,621 posts

Joined: Jan 2003
From: Seremban



QUOTE(charge-n-go @ Oct 13 2005, 07:43 PM)
Well, that's new info to me biggrin.gif

Anyway, do you know how many transistors in AND, OR, NOT and XOR?
Do u know of any good websites about all these things.?  notworthy.gif
*
Here's a website about logic gates. Hope it helps.
http://www.allaboutcircuits.com/vol_4/chpt_3/index.html
X10A Freedom
post Oct 13 2005, 08:47 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(draggy @ Oct 13 2005, 06:36 PM)
my fav e&e forum:

CODE

www.edaboard.com


all your needs is there
*
sweet, i didn't know there's such forum

QUOTE(charge-n-go @ Oct 13 2005, 07:36 PM)
alrites, thanx for your advice biggrin.gif
I think it's bcoz each IC have an array of same gates, so if we mix the gates, we need a few ICs to construct a circuit. Besides, from one of the book i borrowed from library (not with me now), it says the NAND and NOR gate has lower latency compare to AND, OR and XOR. Well, all these is based on IC design, not sure about FPGA thou.

Thanx draggy for the info, and Ash, u can ask the question here as long as it's related to engineering smile.gif
*
if i recall correctly, FPGA are kinda different, coz they're using programmable array to do the job and normally they mix OR and AND gates
if you want to learn more about the internal structures of FPGA, Xilinx Foundation series would be the best way to learn it(since it's layout editor shows you the FPGA internal contructions)
but i think your uni should be under Altera University program, so using a Xilinx would be quite out of the question(unless your uni still have some Xilinx board)

QUOTE(charge-n-go @ Oct 13 2005, 07:43 PM)
Well, that's new info to me biggrin.gif

Anyway, do you know how many transistors in AND, OR, NOT and XOR?
Do u know of any good websites about all these things.?  notworthy.gif
*
actually don't bother about learning all these first coz i'm sure u gonna learn it in your final year
but if you're really interested, you should take a look at books that are into IC design , they are really good coz they teach you how to change a Boolean expression from gate level to transistor level then to mask level
the basic idea is that it always consist of pull-up network(p-type transistor) and pull-down network(n-type transistor)

TScharge-n-go
post Oct 13 2005, 08:59 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Thanx nutz, winc87 and X10 Freedom.

QUOTE
actually don't bother about learning all these first coz i'm sure u gonna learn it in your final year
but if you're really interested, you should take a look at books that are into IC design

Sadly, I'm in final year and already taken all the computer subjects (i take it earlier than schedule and push the maths based subj to the final year). My uni (MMU) kinda stupid ler, never teach all these to computer majoring student. I don't even know what is PMOS NMOS circuit like. All i studied is digital logic design and digital computer design for discreet electronics. (all gate level only, and hv to learn VHDL myself). I wonder why i need to take subjects like Analag Comm, Digital Comm (using fourier analysis), electric power system, electrical machine and stuff like that.

Well, i proposed '8-bit CPU' as my final year project title. Already have the basic design except control unit. Now i need to refine and upgrade anything possible 1st before finalize the control unit design. Sigh... it's a tough job. sweat.gif

Anyway, thanx for yr kind advice wink.gif

This post has been edited by charge-n-go: Oct 13 2005, 09:00 PM
TScharge-n-go
post Oct 13 2005, 11:34 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

I have another question about the gates.

May i know isit possible to have 5 input per gate? I'm afraid of fan-in problem, bcoz from the book "Princilples of Digital Design' by Daniel Gajski, i see the max of 4 input gates only, don't have 5 input one.

btw, I'm gonna simulate using Altera MaxPlus II, dunno if it support FPGA or not. Sorry for the noob question bcoz I m self learning VHDL and simulator and kinda blur now. Thanx !
Mr_47
post Oct 13 2005, 11:47 PM

***NOT MODERATOR *** Post : +10,000,000,00 Warn: 100%
*******
Senior Member
4,341 posts

Joined: Jan 2003
From: Bora-bora u jelly? Special: Age of multi-monitor



i will take degree in comunication and data tranfer computer eng..!

so izzit good? job?

This post has been edited by Mr_47: Oct 13 2005, 11:55 PM
nUtZ`
post Oct 13 2005, 11:58 PM

Regular
******
Senior Member
1,868 posts

Joined: Jan 2003
QUOTE(charge-n-go @ Oct 13 2005, 11:34 PM)
I have another question about the gates.

May i know isit possible to have 5 input per gate? I'm afraid of fan-in problem, bcoz from the book "Princilples of Digital Design' by Daniel Gajski, i see the max of 4 input gates only, don't have 5 input one.

btw, I'm gonna simulate using Altera MaxPlus II, dunno if it support FPGA or not. Sorry for the noob question bcoz I m self learning VHDL and simulator and kinda blur now. Thanx !
*
if you want to put in 5 gates also can.. not a problem.. but depending on what method you are using.. TTL? GTL?
cafuheva
post Oct 13 2005, 11:59 PM

Newbie
******
Senior Member
1,188 posts

Joined: Jan 2003

EE final student here, currently studying SILVACO software RM200,000. Anyone know SILVACO here? lets discuss about it. I have a couple Q to ask.
cafuheva
post Oct 14 2005, 12:06 AM

Newbie
******
Senior Member
1,188 posts

Joined: Jan 2003

QUOTE(Mr_47 @ Oct 13 2005, 11:47 PM)
i will take degree in comunication and data tranfer computer eng..!

so izzit good? job?
*
If you asking me this Q, I would like suggest you to take Electronic major. This is because it is wide range in EE where students who take it can jump into many EE fields in jobs opportunity. While Com student cannot jump into electronic field or others EE major field, it can but difficult for you later on.

There are tonnes of jobs in Malaysia for EE fields are in Electronic Major. thumbup.gif
X10A Freedom
post Oct 14 2005, 12:19 AM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Oct 13 2005, 11:34 PM)
I have another question about the gates.

May i know isit possible to have 5 input per gate? I'm afraid of fan-in problem, bcoz from the book "Princilples of Digital Design' by Daniel Gajski, i see the max of 4 input gates only, don't have 5 input one.

btw, I'm gonna simulate using Altera MaxPlus II, dunno if it support FPGA or not. Sorry for the noob question bcoz I m self learning VHDL and simulator and kinda blur now. Thanx !
*
i think how many input doesn't really matter.......not too sure about that

MaxPlus 2 does support FPGA, but not all
your uni should be using the flex10k chip on the UP2 board right? if it's that then no problem
if you're using Stratix 2, u might need Quartus 2
actually if you're modelling your circuit in MaxPlus2, u don't have to worry about those fan-in problem
the only problem you have to worry is your coding style
at certain times, different coding style might give you certain delays.......

of course, if you want to be slightly lazy, u can try use HDL Designer(Mentor Graphics software) to create the block structure first, then use it to convert into Verilog or VHDL, save some time to write those redundant port maps and entities
XD
TScharge-n-go
post Oct 14 2005, 12:35 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(nUtZ` @ Oct 13 2005, 11:58 PM)
if you want to put in 5 gates also can.. not a problem.. but depending on what method you are using.. TTL? GTL?
*
erm... actually i mean 5 input per gate tongue.gif

QUOTE(cafuheva @ Oct 13 2005, 11:59 PM)
EE final student here, currently studying SILVACO software RM200,000. Anyone know SILVACO here? lets discuss about it. I have a couple Q to ask.
*
Nope, sorry I've no idea on that. I only know a bit of MaxPlus II laugh.gif


QUOTE(X10A Freedom @ Oct 14 2005, 12:19 AM)
the only problem you have to worry is your coding style
at certain times, different coding style might give you certain delays.......

of course, if you want to be slightly lazy, u can try use HDL Designer(Mentor Graphics software) to create the block structure first, then use it to convert into Verilog or VHDL, save some time to write those redundant port maps and entities
XD
*
Hmm... thanx for the tips thumbup.gif

btw, can i count the delay using conventional way? For example, 2 leg NAND gate has 4ns delay (from the same book mentioned above) and 2 leg AND gate has 6ns. So in FPGA, do the gates having the same delay regardless of the type?

Well, actually i'm using hand drawn (using corel draw actually) to draw out all the gate circuit design, then I'll write the VHDL (using architecture behaviour) in MaxPlus 2. Isit the same as what u meant using the Mentor graphic?
What kind of coding style is more efficient? Behavorial or architecture?


Edit : I have another doubts tongue.gif
If wanna save power when any of the functional unit is idle, can i js use clock gating? Any other implementation besides that? Thanx notworthy.gif

This post has been edited by charge-n-go: Oct 14 2005, 01:06 AM
cafuheva
post Oct 14 2005, 02:26 AM

Newbie
******
Senior Member
1,188 posts

Joined: Jan 2003

SILVACO question if anyone know

what is the use of term

ATHENA> relax y.min=.2 x.min=0.2

what is the relax actually mean? is it ok if I dont use it in any process?

another Q, how I can calculate gate width using TonyPlot beside zoom in the structure. Is there any tools in TonyPlot to do it? I keep searching but fail to find what is the menu that I must click.

What is the SILVACO language that can calculate gate width (channel width)? I familiar with gate poly thickness command language but how I can calculte the channel width using the same method as to find the thickness?

Anyone working with INTEL here? suppose you should know how to use SILVACO

Mod's EDIT: Please use the EDIT button if there's no reply after your last post TQ

This post has been edited by almostthere: Oct 14 2005, 10:05 PM
draggy
post Oct 14 2005, 02:52 AM

Getting Started
**
Junior Member
200 posts

Joined: Mar 2005


QUOTE(cafuheva @ Oct 14 2005, 02:37 AM)
Anyone working with INTEL here? suppose you should know how to use SILVACO.
*
have you tried using www.google.com to find your "SILVACO" solution?


silllver
post Oct 14 2005, 02:55 AM

Regular
******
Senior Member
1,298 posts

Joined: Jan 2003
I only finished Electrical&Electronic Engineering diploma level, 4 years ago. U guys are good in those logic gates. How i wish i could have more money to continue my studies to higer level. Too bad. sleep.gif
ikanayam
post Oct 14 2005, 03:33 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(nUtZ` @ Oct 13 2005, 06:56 AM)
ah i just remembered... look up sendra and smith - microelectronic circut. NOR and NAND gates has 4 cmos transistor.. AND and OR gates are basically NOR/NAND inverted.

I can't really remember why you would use the NAND gate rather then NOR gate but it has to do with the Pull up and Pull down. Probably ask silky about this.. i haven't touch these stuff for 4 years already... now i deal solely on with microsoft products.. wink.gif
*
For a standard CMOS type transistor, NAND and NOR use 4 trannies, XOR uses 6-8 depending on implementation. AND and OR gates need an extra inverter, so that brings up the tranny count to 6.

NAND gates have an inherently better pull up output than NOR gates (the PMOS are in parallel in the NAND so less resistance).


QUOTE(charge-n-go @ Oct 13 2005, 10:34 AM)
I have another question about the gates.

May i know isit possible to have 5 input per gate? I'm afraid of fan-in problem, bcoz from the book "Princilples of Digital Design' by Daniel Gajski, i see the max of 4 input gates only, don't have 5 input one.

btw, I'm gonna simulate using Altera MaxPlus II, dunno if it support FPGA or not. Sorry for the noob question bcoz I m self learning VHDL and simulator and kinda blur now. Thanx !
*
It is not recommended to use more than 4 inputs per gate because the electrical characteristics of the gate deteriorates when more and more transistors are stringed together in series.

QUOTE(cafuheva @ Oct 13 2005, 01:37 PM)
Anyone working with INTEL here? suppose you should know how to use SILVACO.
*
I don't think this thread was made for such questions.
ikanayam
post Oct 14 2005, 03:49 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(nUtZ` @ Oct 13 2005, 06:37 AM)
Its best to use NAND gates because (IIRC) it uses about 3 transistors to design it.. By mixing up all the gates, it makes it harder to manufacture. By having only a single NAND, it is easier to organize the transistor to have optimal routing between the gates. It is easier to make the mask for the cct too..

Another point about only using NAND is the simplification in calculating the propogational delay with in the cct. hence easier to clock it up..
*
So it's more of an ease of use thing than a performance thing right? Because right now i'm doing a full custom design and layout of an ALU and we can pretty much create whatever "gates" we want to improve performance or other characteristics of the circuit. Process tech is TSMC 0.18 micron SCMOS (5 metal layers).

So my impression is that when performance is crucial, full custom gates are used. Is this correct? I'm pretty sure intel/AMD/Nvidia/ATI don't use only NAND gates for their ASICs tongue.gif
silkworm
post Oct 14 2005, 07:54 AM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(ikanayam @ Oct 14 2005, 03:49 AM)
So it's more of an ease of use thing than a performance thing right? Because right now i'm doing a full custom design and layout of an ALU and we can pretty much create whatever "gates" we want to improve performance or other characteristics of the circuit. Process tech is TSMC 0.18 micron SCMOS (5 metal layers).

So my impression is that when performance is crucial, full custom gates are used. Is this correct? I'm pretty sure intel/AMD/Nvidia/ATI don't use only NAND gates for their ASICs tongue.gif
*
phew, the thread grew two whole pages overnight.

Designing with NAND gates is a higher level of abstraction and usually gives you a ballpark figure of how many transistors are going into your final circuit. Furthermore, a NAND gate's electrical properties; fan-in, fan-out, propagation time, etc. are known quantities. If you go all out full custom, be prepared to run test after test to make sure you don't suddenly get an oscillator instead of an ALU (an exaggeration, I know tongue.gif).
kramuse
post Oct 14 2005, 08:18 AM

Getting Started
**
Junior Member
200 posts

Joined: Dec 2004
From: OUG



Wow, designing logic gates using transistors ^^;; I just use the 74 series chips to solve my problems. Approaching final year EnE in Uniten. Anyone got ideas for a final year project? I have 2 in mind, hear me out
1. Maglev - Using magnets to levitate the train and an electromagnetic propulsion system
2. Flying Car - Using the same propulsion system, only vertically placed and much stronger. Problem is, power consumption will be tremendously high and I am not sure if it will work.

What you guys think? What did you all do for your final year project?

witchhunter
post Oct 14 2005, 11:47 AM

Getting Started
**
Junior Member
121 posts

Joined: Mar 2005
QUOTE(charge-n-go @ Oct 13 2005, 11:34 PM)
I have another question about the gates.

May i know isit possible to have 5 input per gate? I'm afraid of fan-in problem, bcoz from the book "Princilples of Digital Design' by Daniel Gajski, i see the max of 4 input gates only, don't have 5 input one.

btw, I'm gonna simulate using Altera MaxPlus II, dunno if it support FPGA or not. Sorry for the noob question bcoz I m self learning VHDL and simulator and kinda blur now. Thanx !
*
I tot MMU got offer VHDL? Under Digital Computer Design during Delta 3rd Trimester.

I am currently Computer Engineering 3rd year student. Dun really understand about those logic stuffs you all discuss about. Are they DSP? Cos I haven take DSP. In a few sem time will be. If you all discuss about microcontroller, maybe I can be of some help.

Btw, in MMU, our courses of Computer Engineering and EE are quite similar. We are called Electronics majoring in CE. WE have about only 5-8 subjects that are different. BUt I agree that subjects like Power Electronics, Digital Comm and Analog Comm are seems quite irrelevant. Don't really like those subjects.

This post has been edited by witchhunter: Oct 14 2005, 11:50 AM
cafuheva
post Oct 14 2005, 01:14 PM

Newbie
******
Senior Member
1,188 posts

Joined: Jan 2003

MMU have SILVACO or not? CADENCE?
ikanayam
post Oct 14 2005, 02:18 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(silkworm @ Oct 13 2005, 06:54 PM)
phew, the thread grew two whole pages overnight.

Designing with NAND gates is a higher level of abstraction and usually gives you a ballpark figure of how many transistors are going into your final circuit. Furthermore, a NAND gate's electrical properties; fan-in, fan-out, propagation time, etc. are known quantities. If you go all out full custom, be prepared to run test after test to make sure you don't suddenly get an oscillator instead of an ALU (an exaggeration, I know tongue.gif).
*
Yes, test at every step, verilog to get the logic right, then transistor level to optimize the circuit, then test again to compare with the verilog, then layout level, test gate layout, then blocks, then the entire thing. Using Cadence tools, pretty neat. It's all nicely integrated together.
nUtZ`
post Oct 14 2005, 03:20 PM

Regular
******
Senior Member
1,868 posts

Joined: Jan 2003
Fishy you lucky SOB.. all i had was a 0.35um CMOS fab in our labs.. sad.gif
Kagaya
post Oct 14 2005, 03:37 PM

Bad-Badtz Maru FREAK !!!
Group Icon
Elite
2,396 posts

Joined: Jan 2003
From: Pandan Perdana, Cheras, KL



Computer & Communication Engineering @ UKM 3rd Year

Reporting!
ikanayam
post Oct 14 2005, 03:37 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(nUtZ` @ Oct 14 2005, 02:20 AM)
Fishy you lucky SOB.. all i had was a 0.35um CMOS fab in our labs.. sad.gif
*
This is the 2nd year we're using 0.18um layout. Our fab is not that advanced i think. We're just doing advanced simulations on the final layout. We're using TSMC SCMOS18 design rules, so basically if we wanted to then we could send the final design to TSMC and get it manufactured.
X10A Freedom
post Oct 14 2005, 05:20 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Oct 14 2005, 12:35 AM)
erm... actually i mean 5 input per gate tongue.gif
Nope, sorry I've no idea on that. I only know a bit of MaxPlus II laugh.gif
Hmm... thanx for the tips  thumbup.gif

btw, can i count the delay using conventional way? For example, 2 leg NAND gate has 4ns delay (from the same book mentioned above) and 2 leg AND gate has 6ns. So in FPGA, do the gates having the same delay regardless of the type?

Well, actually i'm using hand drawn (using corel draw actually) to draw out all the gate circuit design, then I'll write the VHDL (using architecture behaviour) in MaxPlus 2. Isit the same as what u meant using the Mentor graphic?
What kind of coding style is more efficient? Behavorial or architecture?
Edit : I have another doubts tongue.gif
If wanna save power when any of the functional unit is idle, can i js use clock gating? Any other implementation besides that? Thanx  notworthy.gif
*
Mentor graphics(HDL designer) are different, their more on graphical implementation isntead of writing codes(though you still need to write a bit for certain systems)
yes, i think you can use the conventional way to calculate delays(not sure which EDA tools will calculate it for you, never use those b4)
in FPGA, it has slightly different delays, it's not the same as delays in real devices
VHDL has 2 type, behavioral and structural modelling
there's no such universal preference on which is better, it depends on preference, for me if u ask me to design a circuit(let's say a Booth Multiplier) which i need to learn from scratch in a few months(make it 1-2 months), then i'll use behavioral
but if i have the actual data schematics with me since the start but not too sure how it really behaves, then i'll use structural
actually wat i mean by coding style is that how and where do you update your output/buffers. sometimes in certain codings, though it's right, but if you update your output/buffer slightly later(let's assume we update it after the process section), then delay might occur
but if you update it inside the process statements, then it'll have less delay
there are other problems too, but this will naturally be known to you when you encounter it

p/s: in writing port map section, i suggest you read how to use GENERATE statements, useful in writing port maps

QUOTE(kramuse @ Oct 14 2005, 08:18 AM)
Wow, designing logic gates using transistors ^^;; I just use the 74 series chips to solve my problems. Approaching final year EnE in Uniten. Anyone got ideas for a final year project? I have 2 in mind, hear me out
1. Maglev - Using magnets to levitate the train and an electromagnetic propulsion system
2. Flying Car - Using the same propulsion system, only vertically placed and much stronger. Problem is, power consumption will be tremendously high and I am not sure if it will work.

What you guys think? What did you all do for your final year project?
*
currently need to design a Viberti Decoder for my finals
finding hard time to get it's algorithm

QUOTE(witchhunter @ Oct 14 2005, 11:47 AM)
I tot MMU got offer VHDL? Under Digital Computer Design during Delta 3rd Trimester.

I am currently Computer Engineering 3rd year student. Dun really understand about those logic stuffs you all discuss about. Are they DSP? Cos I haven take DSP. In a few sem time will be. If you all discuss about microcontroller, maybe I can be of some help.

Btw, in MMU, our courses of Computer Engineering and EE are quite similar. We are called Electronics majoring in CE. WE have about only 5-8 subjects that are different. BUt I agree that subjects like Power Electronics, Digital Comm and Analog Comm are seems quite irrelevant. Don't really like those subjects.
*
DSP? nah......DSP is even worse.....with all those Discrete Signal Processing theories, it'll be much difficult IMO, but of course, the implementation part is slightly easier(coz all you need is Simulink to do the donkey job)

QUOTE(ikanayam @ Oct 14 2005, 03:37 PM)
This is the 2nd year we're using 0.18um layout. Our fab is not that advanced i think. We're just doing advanced simulations on the final layout. We're using TSMC SCMOS18 design rules, so basically if we wanted to then we could send the final design to TSMC and get it manufactured.
*
so basically you all only develop till the mask level right? but kinda nice for your uni to teach verilog. i need to learn by myself
ben_panced
post Oct 14 2005, 06:09 PM

PC and MotorBicycle Enthusiast
*****
Senior Member
962 posts

Joined: Dec 2004
From: Kulai


1st year Computer Engineering students here from UTM biggrin.gif
TScharge-n-go
post Oct 14 2005, 06:13 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

X10 Freedom, thanx for the info



QUOTE(witchhunter @ Oct 14 2005, 11:47 AM)
I tot MMU got offer VHDL? Under Digital Computer Design during Delta 3rd Trimester.

WE have about only 5-8 subjects that are different. BUt I agree that subjects like Power Electronics, Digital Comm and Analog Comm are seems quite irrelevant. Don't really like those subjects.
*
Digital Computer Design has VHDL, but the lecturer didnt really teach, js go through damn quickly. He teaches mainly on the gate level design.

Power Electronics is important, bcoz u need the knowledge to construct power supply unit. Well, Analog Comm, Digital Comm, Intro to power system, Intro to machine and stuff like that is really useless. I hope they can include stuff like IC design and transistor level optimization like what others have told me here.


TScharge-n-go
post Oct 14 2005, 06:17 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(cafuheva @ Oct 14 2005, 01:14 PM)
MMU have  SILVACO or not? CADENCE?
*
MMU is using Altera software. I'm not sure about silvaco.


QUOTE
DSP? nah......DSP is even worse.....with all those Discrete Signal Processing theories, it'll be much difficult IMO, but of course, the implementation part is slightly easier(coz all you need is Simulink to do the donkey job)

No thanx to DSP, I'm scared of Fourier and other wave equations doh.gif

This post has been edited by charge-n-go: Oct 14 2005, 06:18 PM
X10A Freedom
post Oct 14 2005, 06:22 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Oct 14 2005, 06:13 PM)
X10 Freedom, thanx for the info
Digital Computer Design has VHDL, but the lecturer didnt really teach, js go through damn quickly. He teaches mainly on the gate level design.

Power Electronics is important, bcoz u need the knowledge to construct power supply unit. Well, Analog Comm, Digital Comm, Intro to power system, Intro to machine and stuff like that is really useless. I hope they can include stuff like IC design and transistor level optimization like what others have told me here.
*
actually you can't say Digital Comm is not important vice versa
i used to thought why do i have to study that when all i want to do in the future is just IC designer, but now, i need to design a decoder IC that is used for decoding signals from CDMA's, Satelite transimissions etc
they teach you all these so that in your final year, you're more prepare to do more things and not limited to certain topics(as you don't always get the topic you want)
anyways, transistor optimizations is a headache(all those formulas like IV curve etc and not so friendly PSPICE programs)
normally people have EDA tool that does the donkey job(people are always rushing againts time that's why they rather splash cash on those expensive EDA tools)
TScharge-n-go
post Oct 14 2005, 06:29 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(X10A Freedom @ Oct 14 2005, 06:22 PM)
actually you can't say Digital Comm is not important vice versa
i used to thought why do i have to study that when all i want to do in the future is just IC designer, but now, i need to design a decoder IC that is used for decoding signals from CDMA's, Satelite transimissions etc
they teach you all these so that in your final year, you're more prepare to do more things and not limited to certain topics(as you don't always get the topic you want)
anyways, transistor optimizations is a headache(all those formulas like IV curve etc and not so friendly PSPICE programs)
normally people have EDA tool that does the donkey job(people are always rushing againts time that's why they rather splash cash on those expensive EDA tools)
*
Actually in MMU, we took 'data communication' subject for all the CDMA, transmission (in binary), compression technique and stuff like that. Digital Comm basically is an extension where we learn about how the digital signal is represented in Fourier and how to convert sinc to square function and etc. Then we need to design some filter to select the correct bandwidth, and some stuff like tat, cant really remember liao biggrin.gif
Well, if we cant get the FYP topic (like me), I'm proposing my own one, so i guess tat's not an issue tongue.gif
evilhomura89
post Oct 14 2005, 09:17 PM

Look at all my stars!!
*******
Senior Member
5,886 posts

Joined: Jan 2003
From: BM


Hi,
Still a secondary school student here wanted to know more abt Computer Engineering.

What is the difference between Software Engineering, Electronics and this???
Plz tell me so I know what to choose in future.

Thx.
witchhunter
post Oct 14 2005, 10:48 PM

Getting Started
**
Junior Member
121 posts

Joined: Mar 2005
Based on what you all have been discussing, can anyone from senior from MMU tell me which subject this is under? Especially the Discrete Signal Processing. Cos I have never touched this field despite being three years in MMU
Eokboy
post Oct 14 2005, 11:43 PM

BRIX!
******
Senior Member
1,599 posts

Joined: Jan 2003
From: Isketambola
Damn, im still stuck with logic gates, in BM...Get logik DAN, TAK, ATAU, litar penambah, penolak. F5 physics.
Istill dont understand the adder circuit, and SPM is coming...Got the flip-flop circuit down though.
thom_chai
post Oct 15 2005, 01:11 AM

Regular
******
Senior Member
1,830 posts

Joined: Nov 2004


I'm taking Btech computer system and networking. It's very tough cry.gif
Dun feel like quiting either cuz oledi halfway cry.gif
ikanayam
post Oct 15 2005, 02:11 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Oct 14 2005, 04:20 AM)
so basically you all only develop till the mask level right? but kinda nice for your uni to teach verilog. i need to learn by myself
*
They didn't really teach verilog, the intro class was next to useless. Mostly i had to learn it by myself. Last semester i had a class where i had to write 1000 lines of verilog every 2 weeks so i think i'm a bit better now laugh.gif
silkworm
post Oct 15 2005, 07:59 AM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(evilhomura89 @ Oct 14 2005, 09:17 PM)
Hi,
Still a secondary school student here wanted to know more abt Computer Engineering.

What is the difference between Software Engineering, Electronics and this???
Plz tell me so I know what to choose in future.

Thx.
*
Most of the discussion in this thread falls under Electronics Engineering. Digital Logic is usually a first year course after which students promptly forget about it. That's until they go into VLSI (Very Large Scale Integrated Circuits) design later on, and then they scramble to re-learn it again. laugh.gif

Software Engineering is not just all about programming; you'd be learning about project management, high-level design, algorithm design, formal verification and other deep stuff. I'd say it falls somewhere between Computer Science and IT.
TScharge-n-go
post Oct 15 2005, 10:06 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(Eokboy @ Oct 14 2005, 11:43 PM)
Damn, im still stuck with logic gates, in BM...Get logik DAN, TAK, ATAU, litar penambah, penolak. F5 physics.
Istill dont understand the adder circuit, and SPM is coming...Got the flip-flop circuit down though.
*
Your adder and subtractor circuit using XOR? (X-ATAU i suppose in BM tongue.gif)
zt_lee
post Oct 15 2005, 12:07 PM

Footballer & Overclocker
*****
Senior Member
700 posts

Joined: Jan 2003
studying electrical and computer control engineering here, can join? tongue.gif
ijan
post Oct 15 2005, 12:32 PM

The one with a boring life...
*******
Senior Member
3,448 posts

Joined: Jan 2003
From: Sarawak


X(K)=sigma(n=0,N-1)[x(n)e^(-j2pnk/N)] for K=0,1,2,... DFT

What that makes me? I'm okay with VHDL, but not okay with VLSI (any scale intergration, plain boring). My U dun haf fabrication, wonder how come ur U can have a foundary..it costs billions..usually, we send it to silterra or agilent for fabrication.

But i cant join u guys punya discussion, i dun like intergration tongue.gif but u guys haf brifgt future since major electronic company here in penang are looking for VLSI/IC designer and testers. Me, repair tv and radio, hehe!
ikanayam
post Oct 15 2005, 12:45 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(ijan @ Oct 14 2005, 11:32 PM)
X(K)=sigma(n=0,N-1)[x(n)e^(-j2pnk/N)] for K=0,1,2,... DFT

What that makes me? I'm okay with VHDL, but not okay with VLSI (any scale intergration, plain boring). My U dun haf fabrication, wonder how come ur U can have a foundary..it costs billions..usually, we send it to silterra or agilent for fabrication.

But i cant join u guys punya discussion, i dun like intergration tongue.gif but u guys haf brifgt future since major electronic company here in penang are looking for VLSI/IC designer and testers. Me, repair tv and radio, hehe!
*
Well my uni's a good beggar so they gets lots of sponsors, and i don't think a tiny scale low tech fab costs billions. That's the stuff intel has to mass produce millions of chips.
cafuheva
post Oct 15 2005, 08:39 PM

Newbie
******
Senior Member
1,188 posts

Joined: Jan 2003

what software that can drawing PMOS structure in 3D. Thanks
X10A Freedom
post Oct 15 2005, 09:05 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


draw in 3D? not too sure
but i know L-Edit can view all angles of the cross section on any mask layout
http://www.tanner.com/EDA/products/ledit/d...eet/default.htm
empire23
post Oct 16 2005, 11:46 AM

Team Island Hopper
Group Icon
Staff
9,417 posts

Joined: Jan 2003
From: Bladin Point, Northern Territory
Crap, and all i'm doing is learning adders via flip flops and carry adders, lol malu
TScharge-n-go
post Oct 17 2005, 03:17 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Just a bump to keep it alive. Currently doing Control Unit, dunno need how long to finish 8-}
ikanayam
post Oct 17 2005, 03:24 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Do you have to use gate level verilog or behavioral?

Mine is all gate level. Kmaps all the way laugh.gif
Usually it's not that hard once you do that, you'll notice that you can share a lot of the control logic.

I'm done with my gate level verilog. Next will be moving on to using Cadence tools and transistor level design. I'm so proud of myself, this is the 1st time in my life i'm done with a project 4 full days before it's due (as opposed to the usual 4 minutes) laugh.gif
TScharge-n-go
post Oct 17 2005, 06:32 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Damn, I need to use gate level oso, behavioral no syiok tongue.gif

Phew... I'm used to work for dunno how many days for my Final Year Project, so damn tired to be on schedule. Ikan genius man, 4 minutes can finish a project laugh.gif

Hey, send me your CU design, see which part i can leech u (if u dun mind) tongue.gif

edit : still got some headache in implementing Interrupt Request into the CU.

This post has been edited by charge-n-go: Oct 17 2005, 07:16 PM
jsnkok
post Oct 17 2005, 11:01 PM

Casual
***
Junior Member
345 posts

Joined: Apr 2005
From: Kajang
i'm a EEE student too hehe.. doing yr 2 sem 1 now... already in 4th wk still blur hahaha... currently doing SP, Ctrl, P.Electronics, etc etc
unitron
post Oct 18 2005, 12:15 AM

W1(R)3d
*******
Senior Member
2,730 posts

Joined: Jan 2003
From: In the shadows behind you


aiyoh... reading this, i feel like 1st year freshie onli....

graduated with a Diploma in Telco. & Computer Engineering
and also a Degree in Electronics and Computing,

but that was like 3++ years ago.... now almost forget everything alredi...

Anyway, I'm now working in the semiconductor manufacturing / assembly industry.. i.e we make the chips u used in those PCBs.

but don't ask about the internals lah... but if u wanna know how we manufacture leadframes, do die attach, wire bonding, molding, solder plate, window dejunk, singulation, testing, etc, etc then ask loh...
ikanayam
post Oct 18 2005, 12:54 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Oct 17 2005, 05:32 AM)
Damn, I need to use gate level oso, behavioral no syiok tongue.gif

Phew... I'm used to work for dunno how many days for my Final Year Project, so damn tired to be on schedule. Ikan genius man, 4 minutes can finish a project laugh.gif

Hey, send me your CU design, see which part i can leech u (if u dun mind) tongue.gif

edit : still got some headache in implementing Interrupt Request into the CU.
*
Not 4 minutes finish project... i finish it 4 minutes before it's due... big difference laugh.gif

I don't think you can use anything from my design since it's just a basic ALU. with simple functions only (no mul/div). The focus of the class is the layout part which is why they did not make the design part too complex else everyone will die.

Just do a lot of Kmaps for the combinational part of the control unit. Use behavioral 1st to test the functionality of the datapath, and then slowly replace bits of it with gate level stuff. That way you know where to look if something is wrong.
Mavik
post Oct 18 2005, 03:23 PM

Patience is a virtue
Group Icon
Elite
7,826 posts

Joined: Jan 2003



Wow your uni has some sponsors? Pretty cool, I didn't know your uni could just send a design to get it fabricated somewhere else.

As for me, since my uni was the national centre for III-V semiconductors, we pretty much had our own fabrication lab, right from the diamond saw that slices the ingots to MOCVDs till the ion implanters, the full works. And yes, the cost of fabricating our samples costed each student around 700pounds but that is all already included in our fees.
ikanayam
post Oct 19 2005, 12:20 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(unitron @ Oct 17 2005, 11:15 AM)
aiyoh... reading this, i feel like 1st year freshie onli....

graduated with a Diploma in Telco. & Computer Engineering
and also a Degree in Electronics and Computing,

but that was like 3++ years ago.... now almost forget everything alredi...

Anyway, I'm now working in the semiconductor manufacturing / assembly industry.. i.e we make the chips u used in those PCBs.

but don't ask about the internals lah... but if u wanna know how we manufacture leadframes, do die attach, wire bonding, molding, solder plate, window dejunk, singulation, testing, etc, etc then ask loh...
*
I was always curious about how they soldered those BGA packages like memory onto the PCB. How do you get the solder balls in the center to melt nicely?
silkworm
post Oct 19 2005, 02:58 PM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(ikanayam @ Oct 19 2005, 12:20 PM)
I was always curious about how they soldered those BGA packages like memory onto the PCB. How do you get the solder balls in the center to melt nicely?
*
You stick em on the board then stuff the whole board into an oven and cook it. Surface tension will pull the part onto the solder pads nicely. Inspect with an X-ray or ultrasound to make sure there aren't any shorts. Like baking a cake (except for the x-ray part tongue.gif).
unitron
post Oct 19 2005, 06:20 PM

W1(R)3d
*******
Senior Member
2,730 posts

Joined: Jan 2003
From: In the shadows behind you


QUOTE(silkworm @ Oct 19 2005, 02:58 PM)
You stick em on the board then stuff the whole board into an oven and cook it. Surface tension will pull the part onto the solder pads nicely. Inspect with an X-ray or ultrasound to make sure there aren't any shorts. Like baking a cake (except for the x-ray part tongue.gif).
*
yup... the solder balls is already part of the BGA package... just use a reflow oven.

the oven will have a precise control of the temperature ramp and duration. Also it's is done in Nitrogen gas to ensure parts don't oxidize.
ikanayam
post Oct 19 2005, 06:22 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

How about the rest of the stuff that was soldered onto the board? doesn't the solder melt? Oh, and what kind of temps can a typical computer PCB handle?
sieg_wahrheit
post Oct 20 2005, 03:43 PM

Getting Started
**
Junior Member
157 posts

Joined: Dec 2004


anybody majoring in mechatronics engineering?
X10A Freedom
post Oct 20 2005, 05:56 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


anyone knows any EDA softwares that converts Verilog coding to Circuit layout(like logic gate layout of a system)?
cafuheva
post Oct 20 2005, 09:33 PM

Newbie
******
Senior Member
1,188 posts

Joined: Jan 2003

QUOTE(X10A Freedom @ Oct 20 2005, 05:56 PM)
anyone knows any EDA softwares that converts Verilog coding to Circuit layout(like logic gate layout of a system)?
*
Try TANNER EDA.
X10A Freedom
post Oct 21 2005, 03:05 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(cafuheva @ Oct 20 2005, 09:33 PM)
Try TANNER EDA.
*
you mean L-edit? isn't that a mask layout creator? and i thought it can only convert SPICE netlist to schematic layout form......
Snoy
post Oct 21 2005, 06:47 PM

~SIMPLE~
******
Senior Member
1,203 posts

Joined: Jan 2003


QUOTE(ikanayam @ Oct 19 2005, 06:22 PM)
Oh, and what kind of temps can a typical computer PCB handle?
*
I can't really answer the pcb temp tolerance value but you may get some clues from the PCB making process.

At the nearly end of the pcb making process, solder masking (pcb painting --> normal color: green) is needed. In order to dry up the solder mask, pre-cure and post-cure processes are needed which are about 75C and 150C respectively. Under the post-cure process, the pcb is put into a 150C oven for about 30 minutes.

Then, HAL, ENIG or ENTEK is run. For HAL (Hot Air Levelling), it will deposit the soldering iron on the surface of pcb connectors. This process can be run AT MOST 3 times on 230C for single PCB. Whenever the temperature is over that value or the frequency of doing HAL is over 3, there is a posibility that the solder mask may melt or copper foils may burn.

From the above processes, you may assume that any temp below 150C should be safe for a PCB board and 230C is considered a dangerous level. However, if you can achieve that temp level, you can say goodbye to the electronic components on your pcb already.

p/s: The above assumption can be made as long as the solder mask ink quality can meet the industrial standard. smile.gif
sooyewguan
post Oct 22 2005, 01:24 AM

Casual
***
Junior Member
427 posts

Joined: Jun 2005


Nice topic. One more to register.

I graduated from utm.
TScharge-n-go
post Oct 23 2005, 12:39 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(sooyewguan @ Oct 22 2005, 01:24 AM)
Nice topic. One more to register.

I graduated from utm.
*
Registration done thumbup.gif

This post has been edited by charge-n-go: Oct 23 2005, 10:24 PM
igor_is300
post Oct 23 2005, 02:26 AM

Cheesy & Corny
*******
Senior Member
2,728 posts

Joined: Jan 2003
From: Muddy Confluence
hi there... i just found out this thread.... sweat.gif

igor_is300 graduated last year with BEng Electronics Eng. from Staffordshire University reporting in.. smile.gif
SUSSeLrAhC
post Oct 23 2005, 02:44 AM

★★★7Star★General★★★
*******
Senior Member
5,227 posts

Joined: Jan 2003
From: Anchorage, Alaska



y only got e & e cry.gif

no mechanical 1 sweat.gif

sry 4 d spam... but i m impress with u guys
TScharge-n-go
post Oct 23 2005, 10:26 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(igor_is300 @ Oct 23 2005, 02:26 AM)
hi there... i just found out this thread.... sweat.gif

igor_is300 graduated last year with BEng Electronics Eng. from Staffordshire  University reporting in..  smile.gif
*
alrites, thanx for reporting. I got another source of information if I dunno something tongue.gif


QUOTE(SeLrAhC @ Oct 23 2005, 02:44 AM)
y only got e & e  cry.gif

no mechanical 1  sweat.gif

sry 4 d spam... but i m impress with u guys
*
oops, computer hardware is more related to e&e ma. Maybe u can ask almostthere to open mecha engineering thread in fast & furious biggrin.gif
zybler
post Oct 25 2005, 09:34 PM

Casual
***
Junior Member
404 posts

Joined: Jan 2003


Erm... I'm a first year student on computer engineering from UTAR.. can add me to the list? smile.gif

I've got a question lar.. erm.. anyone here knows where to buy electronics parts? Like those for PIC and 80x microcontroller? As well as those logic gates..

This post has been edited by zybler: Oct 25 2005, 09:38 PM
nerd nation
post Oct 25 2005, 11:16 PM

Trance addict
******
Senior Member
1,440 posts

Joined: Jan 2003
From: Ipoh


QUOTE(zybler @ Oct 25 2005, 11:04 PM)
Erm... I'm a first year student on computer engineering from UTAR.. can add me to the list?  smile.gif

I've got a question lar.. erm.. anyone here knows where to buy electronics parts? Like those for PIC and 80x microcontroller? As well as those logic gates..
*
Jalan Pasar?
zybler
post Oct 25 2005, 11:31 PM

Casual
***
Junior Member
404 posts

Joined: Jan 2003


QUOTE(nerd nation @ Oct 25 2005, 11:16 PM)
Jalan Pasar?
*
Where was it? How do I go there? Is that a street name?
SUSDavid83
post Oct 26 2005, 08:11 AM

20k VIP Club
*********
All Stars
52,874 posts

Joined: Jan 2003
QUOTE(zybler @ Oct 25 2005, 11:31 PM)
Where was it? How do I go there? Is that a street name?
*
It's a strict name at Pudu district. Please don't mess up between Pudu and Puduraya.

Stitchy® w/o stitches
TScharge-n-go
post Oct 26 2005, 09:05 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(zybler @ Oct 25 2005, 09:34 PM)
Erm... I'm a first year student on computer engineering from UTAR.. can add me to the list?  smile.gif

I've got a question lar.. erm.. anyone here knows where to buy electronics parts? Like those for PIC and 80x microcontroller? As well as those logic gates..
*
Added you, welcome !
Usually i buy my parts in SS2 bcoz near my house, lazy to go so far laugh.gif
SUSDavid83
post Oct 26 2005, 10:45 AM

20k VIP Club
*********
All Stars
52,874 posts

Joined: Jan 2003
Some said OKR also got.

Stitchy® w/o stitches
unitron
post Oct 26 2005, 04:53 PM

W1(R)3d
*******
Senior Member
2,730 posts

Joined: Jan 2003
From: In the shadows behind you


QUOTE(zybler @ Oct 25 2005, 09:34 PM)
Erm... I'm a first year student on computer engineering from UTAR.. can add me to the list?  smile.gif

I've got a question lar.. erm.. anyone here knows where to buy electronics parts? Like those for PIC and 80x microcontroller? As well as those logic gates..
*
Me and my frens used to get parts from Farnell Electronics, around Seksyen 14 if I remember correctly.

Prefer there to Pasar road. Of course Farnell sell it more expensive, but since company paying.....
martianunlimited
post Oct 26 2005, 11:11 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



I am an engineer in an MNC semicon company. my major is in telecommunications though, but i deal with IC design in my company.

p/s Farnell is freaking expensive. The only reason why i go there to buy anything is that it is not sold in Jalan Pasar. It's along Federal highway, and turn out somewhere before midvalley (jalan 222 if i am not mistaken)

I definately prefer Jalan Pasar or SS2, (near 1 road behind Burger Kings they actually have 8051 microcontrollers there...) to Farnell;
Of course you can always request for engineering samples from Maxim, or NS; they will actually mail them to you; just use your lecturer's name if you want a better chance of recieving the samples.

just O/T... why is this thread here? shouldn't it be in education or jobs?
sooyewguan
post Oct 27 2005, 12:04 AM

Casual
***
Junior Member
427 posts

Joined: Jun 2005


QUOTE(zybler @ Oct 25 2005, 09:34 PM)
Erm... I'm a first year student on computer engineering from UTAR.. can add me to the list?  smile.gif

I've got a question lar.. erm.. anyone here knows where to buy electronics parts? Like those for PIC and 80x microcontroller? As well as those logic gates..
*
you can ask free sample from microchip for PIC. others logic gates jalan pasar a lot. but in terms of convinients, go for farnell. if you not buying a lot, i think its ok to pay bit more.
X10A Freedom
post Oct 27 2005, 05:23 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(martianunlimited @ Oct 26 2005, 11:11 PM)
I am an engineer in an MNC semicon company. my major is in telecommunications though, but i deal with IC design in my company.

p/s Farnell is freaking expensive. The only reason why i go there to buy anything is that it is not sold in Jalan Pasar. It's along Federal highway, and turn out somewhere before midvalley (jalan 222 if i am not mistaken)

I definately prefer Jalan Pasar or SS2, (near 1 road behind Burger Kings they actually have 8051 microcontrollers there...) to Farnell;
Of course you can always request for engineering samples from Maxim, or NS; they will actually mail them to you; just use your lecturer's name if you want a better chance of recieving the samples.

just O/T... why is this thread here? shouldn't it be in education or jobs?
*
hmm, IC design? since your major is in Telecommunications, mind if i ask you this
do you know how to implement a Viterbi Decoder into IC form? i don't want to know how to do it in a detail manner, but probably some concept on wat to do.....
martianunlimited
post Oct 27 2005, 08:56 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



Haha. gimme a while need to look up what in the world is a veterbi decoder....
QUOTE
A viterbi decoder uses the viterbi algorithm for decoding a bitstream that has been encoded using Forward error correction based on a Convolutional code.

Viterbi decoding was developed by Andrew J. Viterbi and published in the paper "Error Bounds for Convolutional Codes and an Asymptotically Optimum Decoding Algorithm," IEEE Transactions on Information Theory, Volume IT-13, pages 260-269, in April, 1967.


The Viterbi decoding algorithm is used in decoding trellis-coded modulation, the technique used in telephone-line modems to squeeze high spectral efficiency out of 3 kHz-bandwidth analog telephone lines. It is also used in the PSK31 digital mode for amateur radio.
Okie, it's a transciever with encoder/decoder. Sorry I am not involved with with these types of circuits. And i can't believe that i need to read up on trellis coding to remember how it works. Sigh that's what happens when you don't touch communication for a few years...

anyway I assume you already know the information here... http://www2.ing.puc.cl/~iee3552/TCM.PDF

Based on the block diagram here, This is what i will do, i will implement the decoder, (and control blocks) in verilog/VHDL or systemC (your choice, just so that i can synthesize it and save myself time). You will have to blackbox the demodulator block; (allocate some space for your layout so that the place and route tool won't accidentally route around that area and cause problems with your routing later).
The circuit demodulator block will need to be designed by hand; from the diagram it appears that all the viterbi decoder need is the recieved data. so just send the recieved data; with a clock; and the control block should be sending and recieving control signals to both the demodulator and the decoder.

After you have your demodulator circuit, then just draw the layout and connect it to the verilog synthesized portion.

(verilog for viterbi decoder: http://www-ee.eng.hawaii.edu/~msmith/ASICs...11/CH11.12.htm) I shouldn't be doing this but i wanted to verify whether or not the decoder is really synthesizable

Side note: regarding your question to convert verilog to schematic, most synthesis tools, and formal verification tools should have that capability (some accepts only synthesized verilog so you may need to do just that)

Just curious is this wired or wireless? It's possible to build an antenna on a chip, but i have no idea how it's done.

This post has been edited by martianunlimited: Oct 27 2005, 09:03 PM
X10A Freedom
post Oct 27 2005, 10:00 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(martianunlimited @ Oct 27 2005, 08:56 PM)
Haha. gimme a while need to look up what in the world is a veterbi decoder....
Okie, it's a transciever with encoder/decoder.  Sorry I am not involved with with these types of circuits. And i can't believe that i need to read up on trellis coding to remember how it works. Sigh that's what happens when you don't touch communication for a few years...

anyway I assume you already know the information here... http://www2.ing.puc.cl/~iee3552/TCM.PDF

Based on the block diagram here, This is what i will do, i will implement the decoder,  (and control blocks) in verilog/VHDL or systemC (your choice, just so that i can synthesize it and save myself time). You will have to blackbox the demodulator block; (allocate some space for your layout so that the place and route tool won't accidentally route around that area and cause problems with your routing later).
The circuit demodulator block will need to be designed by hand; from the diagram it appears that all the viterbi decoder need is the recieved data. so just send the recieved data; with a clock;  and the control block should be sending and recieving control signals to both the demodulator and the decoder.

After you have your demodulator circuit, then just draw the layout and connect it to the verilog synthesized portion.

(verilog for viterbi decoder: http://www-ee.eng.hawaii.edu/~msmith/ASICs...11/CH11.12.htm) I shouldn't be doing this but i wanted to verify whether or not the decoder is really synthesizable

Side note: regarding your question to convert verilog to schematic, most synthesis tools, and formal verification tools should have that capability (some accepts only synthesized verilog so you may need to do just that)

Just curious is this wired or wireless? It's possible to build an antenna on a chip, but i have no idea how it's done.
*
haha, probably should have stated my question properly, how do you model a Viterbi decoder in terms functional block(like general idea in modelling the branch metric unit etc) tongue.gif but anyways, don't think u might remember back since u haven't been touching this for some time
coz i realize to implement the algorithm/trellis diagram in terms of hardware is kinda headache......
i already know the process that you mentioned to me(coz my supervisor did brief me on a brief process flow), thanks anyways
anyways, mind telling me wat synthesis tools is that? coz our college don't seem to have that tool(unless our supervisor doesn't want us to use)
actually currently i'm avoiding the black box process and try to model it using functional block immediately, that way i can model the schematic form much more easily

p/s: i only need to model out the IC, doesn't matter whether it's wired or wireless
but the algorithm i'm using is similar to those used in WCDMA
the link you posted won't be any help to me coz i'm using hard decision decoding and a convolutional length of 7(which makes it 64 states sweat.gif)

This post has been edited by X10A Freedom: Oct 27 2005, 10:17 PM
martianunlimited
post Oct 27 2005, 10:20 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



QUOTE(X10A Freedom @ Oct 27 2005, 10:00 PM)
haha, probably should have stated my question properly, how do you model a Viterbi decoder in terms functional block tongue.gif but anyways, don't think u might remember back since u haven't been touching this for some time
coz i realize to implement the algorithm/trellis diagram in terms of hardware is kinda headache......
i already know the process that you mentioned to me(coz my supervisor did brief me on a brief process flow), thanks anyways
anyways, mind telling me wat synthesis tools is that? coz our college don't seem to have that tool(unless our supervisor doesn't want us to use)
actually currently i'm avoiding the black box process and try to model it using functional block immediately, that way i can model the schematic form much more easily
*
See the verilog here tongue.gif http://www-ee.eng.hawaii.edu/~msmith/ASICs...H11/CH11.12.htm
Then synthesize it tongue.gif (or you can synthesize it by hand.. shouldn't be that hard, i see mux statements; and +1 statement (incrementer (use T-flip-flops?) *edit... nix that... i just realized the decoder code is at the bottom*
well.. from the verilog you should be able to build block diagrams (just divide them by module) then later "synthesize" each of the block by hand...

I can't give the name of the synthesis tool we use (corporate policy), what i can give you is the list of synthesis tools you can use.
Side note... i don't think any college have license for synthesis tools; they are just too expensive. (they pay more for 1 license than what they pay 10 engineers) (but makes sense, these tools can do the job of 10 engineers)
Cadence's Encounter (RTL compiler)
Forte's Cynthesizer
Mentor Graphic's Precision Synthesis Tool
Synopsys' Design Compiler

This post has been edited by martianunlimited: Oct 27 2005, 10:31 PM
X10A Freedom
post Oct 27 2005, 11:01 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


hehe, still, as i said, it's pretty useless coz the link u provided is using soft-decision method which includes quatizations etc
for hard-decision method, it's much more direct but currently still figuring out how to implement it
so far i've been thinking of using ROMs to determine the branch metrics since it's pretty much set throughout the whole process.......for the ACS, still thinking
Mentor Graphics's Precision Synthesis Tool? hmmm........interesting.......does it synthesis in terms of blocks or the circuit schemetic(like logic circuits)?
martianunlimited
post Oct 27 2005, 11:10 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



Synthesis tools basically converts verilog statements and equations to logic gates/flops (so the answer is yes.. you get the logic circuits)

you can get the block level (dataflow diagram?) from the unsynthesized verilog (behaivorial verilog)

It will be in a structural verilog AKA synthesized verilog
if you want the schematic, all you need is a verilog viewer, (i believe gatevision is another example) (but there is a license involved with it)


This post has been edited by martianunlimited: Oct 27 2005, 11:14 PM
X10A Freedom
post Oct 27 2005, 11:14 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(martianunlimited @ Oct 27 2005, 11:10 PM)
Synthesis tools basically converts verilog statements and equations to logic gates/flops (so the answer is yes..)
*
oh, thanks for the info
looks like i have 1 thing less to worry
XD
halo
post Nov 3 2005, 05:47 PM

Getting Started
**
Junior Member
296 posts

Joined: Jan 2003


guys, i hav a question here, regarding FPU. i'm currently working on normalizing the result, i hav somehow figured out the algo to do it, but i would like to know if there's any good algo for it. thanks smile.gif
ikanayam
post Nov 3 2005, 05:54 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(halo @ Nov 3 2005, 04:47 AM)
guys, i hav a question here, regarding FPU. i'm currently working on normalizing the result, i hav somehow figured out the algo to do it, but i would like to know if there's any good algo for it. thanks smile.gif
*
Depends on how much hardware you're willing to spend on it and how fast you want to do it. Need many many more details before that question can be answered.
halo
post Nov 3 2005, 06:08 PM

Getting Started
**
Junior Member
296 posts

Joined: Jan 2003


okie, thanks for the feedback. my goal is to achieve minimum delay in terms of gate level and the fastest algo. And i need to normalize an 8-bit result.

any more info needed? smile.gif

This post has been edited by halo: Nov 3 2005, 06:11 PM
X10A Freedom
post Nov 3 2005, 06:08 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


anyone here know how JTAG works and all? if better u have the pdf files for the actual IEEE specifications
don't ask me to google it as it's impossible
unless someone from edaboards.com can give me coz my points are kinda limited tongue.gif
ikanayam
post Nov 3 2005, 06:26 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(halo @ Nov 3 2005, 05:08 AM)
okie, thanks for the feedback. my goal is to achieve minimum delay in terms of gate level and the fastest algo. And i need to normalize an 8-bit result.

any more info needed? smile.gif
*
it has to be done in 1 clock? Need full details of the FP format to get a better idea. Do you have to support denormals? Details details.
halo
post Nov 3 2005, 08:47 PM

Getting Started
**
Junior Member
296 posts

Joined: Jan 2003


my fp format is :- 16-bit only, 1 for sign bit, 7 for biased exponent, 8 for mantissa, support denormals aso

now after add/sub the mantissa, i need to normalize it to 0.1XXXXXXX before storing the result.

TScharge-n-go
post Nov 3 2005, 10:04 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

anybody has good slides on the algorithm about Manchester Carry Chain adder? I found a lot from google, but most of them are talking about transistor optimization (which i never learn b4 sad.gif ). I only got a lil tips tat Manchester is using some carry skip and carry lookahead method to speed up the process.

Implementation : 4x 8-bit adder for halo's FADD unit. Need a small, low power and decent speed adder. From some graph i found manchester suits this, anymore recommendation about which adder to be used?

Thanx a lot notworthy.gif
martianunlimited
post Nov 3 2005, 10:32 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



I don't have any experience with a manchester carry chain adder, but if you want to know how a carry look ahead adder work here is the wiki
http://en.wikipedia.org/wiki/Carry_lookahead_adder

CLAs are quite easy to design, and the implementation is quite simple, from what i googled, a manchester carry chain looks like a domino implementation of a lookahead circuit

For 8 bits you can do something like this 8 half-adder -> 2 4bit CL -> 1 2bit CL
(or 3 3bit CL -> 1 3bit CL) ( i think second implementation should have a lower delay)

This post has been edited by martianunlimited: Nov 3 2005, 10:37 PM
TScharge-n-go
post Nov 4 2005, 12:43 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

I already have a couple of CL and CR hybrid. Just wanna try if MCC or some Carry Skip can give me good results for 8-bit tongue.gif
ikanayam
post Nov 4 2005, 02:55 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(halo @ Nov 3 2005, 07:47 AM)
my fp format is :- 16-bit only, 1 for sign bit, 7 for biased exponent, 8 for mantissa, support denormals aso

now after add/sub the mantissa, i need to normalize it to 0.1XXXXXXX before storing the result.
*
So there's the implied bit after the decimal place for non denormals?
halo
post Nov 4 2005, 06:09 PM

Getting Started
**
Junior Member
296 posts

Joined: Jan 2003


1XXX will be stored, so there will b no implied bit after the decimal place
is there any way to do it within one clock cycle, at gate level instead of transistor level?

TScharge-n-go
post Nov 4 2005, 06:28 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

halo, maybe can ask shabiul for help tongue.gif (JKJK). According to martianunlimited suggestions previously, i already have a few 8-bit adders for you. Choose one to suit yr multi adder FP unit design, hehehe.


btw, anybody knows how to calculate the delay due to the increase of fan-in? Thanx
ikanayam
post Nov 5 2005, 01:44 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(halo @ Nov 4 2005, 05:09 AM)
1XXX will be stored, so there will b no implied bit after the decimal place
is there any way to do it within one clock cycle, at gate level instead of transistor level?
*
Yes you can do it in one clock, you need to use a barrel shifter or eight 8-to-1 multiplexors. Both of which will consume a significant amount of hardware. You'll also need a subtractor to determine the difference in the exponents to give you the number of bits you have to shift.



QUOTE(charge-n-go @ Nov 4 2005, 05:28 AM)
halo, maybe can ask shabiul for help tongue.gif (JKJK). According to martianunlimited suggestions previously, i already have a few 8-bit adders for you. Choose one to suit yr multi adder FP unit design, hehehe.
btw, anybody knows how to calculate the delay due to the increase of fan-in? Thanx
*
That depends on what gate you are using and whether it is a rise or fall delay. In a nand gate the rise delay is not affected, but the fall delay increases with every new input. The opposite is true for a NOR gate. It's not just the delays that are the problem though, it also affects signal integrity, which is why you don't normally see gates with large fan ins.
halo
post Nov 5 2005, 06:02 PM

Getting Started
**
Junior Member
296 posts

Joined: Jan 2003


QUOTE(ikanayam @ Nov 5 2005, 01:44 AM)
Yes you can do it in one clock, you need to use a barrel shifter or eight 8-to-1 multiplexors. Both of which will consume a significant amount of hardware. You'll also need a subtractor to determine the difference in the exponents to give you the number of bits you have to shift.

*
hm...so for example, the result is something like 0.00001010, do u mean to count the number of zeros and then shift accordingly to make it 0.10100000?
ikanayam
post Nov 5 2005, 06:12 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(halo @ Nov 5 2005, 05:02 AM)
hm...so for example, the result is something like 0.00001010, do u mean to count the number of zeros and then shift accordingly to make it 0.10100000?
*
Yeah sorry i was thinking about the exponent alignment before FADD/FSUB when i mentioned the subtractor. For alignment after add/sub then yeah you can use a counter to determine how many bits to shift.
TScharge-n-go
post Nov 5 2005, 07:22 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(ikanayam @ Nov 5 2005, 01:44 AM)
That depends on what gate you are using and whether it is a rise or fall delay. In a nand gate the rise delay is not affected, but the fall delay increases with every new input. The opposite is true for a NOR gate. It's not just the delays that are the problem though, it also affects signal integrity, which is why you don't normally see gates with large fan ins.
*
icic, thanx. how bout normal OR and AND gates? Well, I js wondering if there's any formula to calculate the delay.

In my design, I can only estimate how many gate delays a signal has. Sometimes the signal propagates through a few big fan in gate, which i think it might have 2x delay than a normal 2 input gate. Just hoping to have more precise calculation. smile.gif
Beach_Boy
post Nov 5 2005, 11:00 PM

:D
*******
Senior Member
8,456 posts

Joined: Jan 2003
From: 家で折ること
still taking diploma in EE now tongue.gif

knows nothing at all biggrin.gif

This post has been edited by Beach_Boy®: Nov 5 2005, 11:00 PM
ikanayam
post Nov 5 2005, 11:44 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Nov 5 2005, 06:22 AM)
icic, thanx. how bout normal OR and AND gates? Well, I js wondering if there's any formula to calculate the delay.

In my design, I can only estimate how many gate delays a signal has. Sometimes the signal propagates through a few big fan in gate, which i think it might have 2x delay than a normal 2 input gate. Just hoping to have more precise calculation. smile.gif
*
OR and AND are basically NOR and NAND with an inverter on the output.

To get a better estimate you might want to limit your design to 3 or 4 input gates only.
martianunlimited
post Nov 6 2005, 11:05 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



charge-n-go: Shabiul Islam? (oh, MMU...) He is a nice guy, just have complains about his deep accent, and his "VVIs" are not to be depended on. Is Fabian (Kung) still around? He is very helpful also

haha.. for me, if i want to calculate the delay, i would just use a STA (static timing analysis) tool... smile.gif

Just curious, what is the biggest fan-in you have?

p/s you may also need to consider the fan-outs. 1 transistor driving 4 fan-outs is going to have a worse rise-time than a single transistor driving a single fanout

This post has been edited by martianunlimited: Nov 6 2005, 11:08 PM
TScharge-n-go
post Nov 6 2005, 11:21 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

yeap, shabiul islam LOL. He shot me a lot during my presentation, luckily can answer his Qs. tongue.gif

Fabian still around, he's supervising ppl on robotics. Seems like u r from MMU too, haha.

My biggest fan-in is 9 (for 8th bit CLA adder), and no big fan out wink.gif

May i know where to get the STA? Any recommendation? Lanun edition maybe we can PM each other tongue.gif

Thanx ya biggrin.gif



martianunlimited
post Nov 6 2005, 11:46 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



I am a MMU Grad loh.... at least 2 years your senior....
9 Fan-in is too big liao... you are essentially stacking 9 nmos/pmos in a line... you may need to split that to 3 NAND3 and a NOR3 (for AND gate) or 3 NOR3 and a NAND3 for a OR gate..., (the 3 denotes the fan-in)
using 2 gates will probably give you better rise/fall time, (delay... not sure, should be an even tradeoff)

Haha.. unfortunately my company don't use lanun versions, and i don't think MMU is willing to pay the EDA companies RM20K a year for a single license.
STA tools in the industry (once again i can't tell you which one we use)
Cadence- Pearl
Mentor Graphics- SST Velocity
Synopsys- Prime Time, Pathmill

ikanayam
post Nov 7 2005, 04:48 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(martianunlimited @ Nov 6 2005, 10:46 AM)
I am a MMU Grad loh.... at least 2 years your senior....
9 Fan-in is too big liao... you are essentially stacking 9 nmos/pmos in a line... you may need to split that to 3 NAND3 and a NOR3 (for AND gate) or 3 NOR3 and a NAND3 for a OR gate..., (the 3 denotes the fan-in)
using 2 gates will probably give you better rise/fall time, (delay... not sure, should be an even tradeoff)

Haha.. unfortunately my company don't use lanun versions, and i don't think MMU is willing to pay the EDA companies RM20K a year for a single license.
STA tools in the industry (once again i can't tell you which one we use)
Cadence- Pearl
Mentor Graphics- SST Velocity
Synopsys- Prime Time, Pathmill
*
Hm... i really think it's well worth the 20k a year for the licence because it really benefits the students. I'm pretty sure that as an educational institution they can negotiate with the companies to give them dumbed down licences for lower prices (you don't need the latest 90nm low-k libraries and all that for what you learn in school).
TScharge-n-go
post Nov 7 2005, 08:25 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(martianunlimited @ Nov 6 2005, 11:46 PM)
I am a MMU Grad loh.... at least 2 years your senior....
9 Fan-in is too big liao... you are essentially stacking 9 nmos/pmos in a line... you may need to split that to 3 NAND3 and a NOR3 (for AND gate) or 3 NOR3 and a NAND3 for a OR gate..., (the 3 denotes the fan-in)
using 2 gates will probably give you better rise/fall time, (delay... not sure, should be an even tradeoff)

Haha.. unfortunately my company don't use lanun versions, and i don't think MMU is willing to pay the EDA companies RM20K a year for a single license.
STA tools in the industry (once again i can't tell you which one we use)
Cadence- Pearl
Mentor Graphics- SST Velocity
Synopsys- Prime Time, Pathmill
*
MMU eat so much $ liao still not willing to pay the software? tongue.gif
Well, I actually separated into 2x 4-bit CLA, so the max fan in will be OR5. I'll try to search those software in LYP next week. Thanx !
martianunlimited
post Nov 7 2005, 08:28 AM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



Try Mines Sri Kembangan; Cheaper... and more variety (at least when i was still there). South City Plaza... not sure it's been too long since i went there... LYP's CD collection IMHO is quite limited, the only thing there that i can't find else where are the manga CDs

Oh ya, just so that you know, don't quote my numbers, it's just a very very rough estimate.

oh ya, why don't you do a 2-3-3 + 3 CLA instead of a 4-4 + 2 CLA instead? that way your worse fan-in is 4, and NAND4 and NOR4s are so much easier to find than NAND5s and NOR5s

This post has been edited by martianunlimited: Nov 7 2005, 08:33 AM
ikanayam
post Nov 7 2005, 08:40 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Nov 6 2005, 07:25 PM)
MMU eat so much $ liao still not willing to pay the software? tongue.gif
Well, I actually separated into 2x 4-bit CLA, so the max fan in will be OR5. I'll try to search those software in LYP next week. Thanx !
*
I doubt you'll be able to find such software in LYP because i don't think companies that pay 20k for them will resort to piracy. I've been looking for a long time and i can't find them tongue.gif
martianunlimited
post Nov 7 2005, 08:55 AM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



Hehe, well EDA companies are famous for suing people for millions (see Synopsys vs Nasda), saving 20K (or even 1 million) is just not worth it... (otherwise we will have people tryign to reverse engineer the license servers

another way is to use PSPICE to simulate the block then measure the delay, it's tedious, and it's actually DTA (Dynamic Timing Analysis) instead of STA (Static Timing Analysis) if you want, you can just guess the "longest" path, and just simulate for that particular path.

MMU has free PSPICE licenses, so you can use them freely.
TScharge-n-go
post Nov 7 2005, 10:09 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(martianunlimited @ Nov 7 2005, 08:28 AM)
Try Mines Sri Kembangan; Cheaper... and more variety (at least when i was still there). South City Plaza... not sure it's been too long since i went there... LYP's CD collection IMHO is quite limited, the only thing there that i can't find else where are the manga CDs

Oh ya, just so that you know, don't quote my numbers, it's just a very very rough estimate.

oh ya, why don't you do a 2-3-3 + 3 CLA instead of a 4-4 + 2 CLA instead? that way your worse fan-in is 4, and NAND4 and NOR4s are so much easier to find than NAND5s and NOR5s
*
I also have 2-2-2-2 (2-bit CLA rippled together) and 2-3-3. The problem is when shabiul become my moderator, he was requesting me to give the delays and size of each adder and compare them. After that only we choose the most appropriate one.
That's the reason i really need to know the delays precisely, as measuring on 'gate delay' in general is too rough in calculation. My supervisor Dr Ajay also wants us to compare different design and choose one of the best for my 8-bit CPU. FYI, I'm designing custom chip with gate level optimization rather than using FPGA tongue.gif

Hmm..... do u know any sites have this kinda statistic.
I only have a book borrowed from halo. Max fan in is up to 4 input only (AND, OR, NOR, NAND). Don't even have the 3 input XOR for the adder. I've searched through google and get a database on gate size and power consumption only. Can't find the delay though sad.gif

Pspice? wow, tat's too time consuming tongue.gif
I still got many things to work on like VHDL and better gate optimization. Sigh, FYP is really tough.

Thanx for all the feedback notworthy.gif


*fishy then how r u doing delay analysis without those tools? manual calculation? tongue.gif

This post has been edited by charge-n-go: Nov 7 2005, 10:11 AM
ikanayam
post Nov 7 2005, 11:19 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Nov 6 2005, 09:09 PM)
Thanx for all the feedback notworthy.gif
*fishy then how r u doing delay analysis without those tools? manual calculation? tongue.gif
*
I am using tools, i can use them by connecting to my school servers and redirecting the output to my computer. Currently using Cadence icfb tools, but i've also used Primetime and Rulebase and some other stuff over the previous semesters. Primetime is very handy for calculating critical paths.

I have included modules from a file with rise and fall times of the gates which i was allowed to use for my projects last semester. The numbers in the brackets (rise, fall) are what you want to look at. Maybe you can use them as a reference.

CODE

module INVERTER(out,in);
       output out;
       input  in;
       not #(1, 1)
(out,in);
endmodule // INVERTER

module BUFFER(out,in);
       output out;
       input  in;
       buf #(1, 1)
(out,in);
endmodule // BUFFER

module NAND2(out,in1,in2);
       output out;
       input  in1,in2;
       nand #(1.1, 0.9)
       (out,in1,in2);
endmodule // NAND2

module NAND3(out,in1,in2,in3);
       output out;
       input  in1,in2,in3;
       nand #(1.2, 1.0)
       (out,in1,in2,in3);
endmodule // NAND3

module AND2(out,in1,in2);
       output out;
       input  in1,in2;
       and #(1.1, 0.9)
       (out,in1,in2);
endmodule // AND2

module AND3(out,in1,in2,in3);
       output out;
       input  in1,in2,in3;
       and #(1.2, 1.0)
       (out,in1,in2,in3);
endmodule // AND3

module AND8(out,in1,in2,in3,in4,in5,in6,in7,in8);
       output out;
       input  in1,in2,in3,in4,in5,in6,in7,in8;
       and #(1.7, 1.5)
       (out,in1,in2,in3,in4,in5,in6,in7,in8);
endmodule // AND8

module XOR2(out,in1,in2);
       output out;
       input  in1,in2;
       xor #(1.1, 0.9)
       (out,in1,in2);
endmodule // XOR2

module NOR2(out,in1,in2);
       output out;
       input  in1,in2;
       nor #(1.1, 0.9)
       (out,in1,in2);
endmodule // NOR2

module NOR3(out,in1,in2,in3);
       output out;
       input  in1,in2,in3;
       nor #(1.2, 1.0)
       (out,in1,in2,in3);
endmodule // NOR3

module OR2(out,in1,in2);
       output out;
       input  in1,in2;
       or #(1.1, 0.9)
       (out,in1,in2);
endmodule // OR2

module OR3(out,in1,in2,in3);
       output out;
       input  in1,in2,in3;
       or #(1.2, 1.0)
       (out,in1,in2,in3);
endmodule // OR3

TScharge-n-go
post Nov 7 2005, 12:29 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

thanx dude. So all the software u mentioned js now is from yr uni? You don't have any copies in yr comp kah? tongue.gif

This post has been edited by charge-n-go: Nov 7 2005, 12:29 PM
ikanayam
post Nov 7 2005, 12:31 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Nov 6 2005, 11:29 PM)
thanx dude. So all the software u mentioned js now is from yr uni? You don't have any copies in yr comp kah? tongue.gif
*
Nope, they are of course smart enough not to allow such expensive software to be copied so easily tongue.gif
TScharge-n-go
post Nov 7 2005, 12:48 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

omg.. then I'm having big problem in deciding the delay, hahaha.

Well, trying to use VHDL and Max Plus 2 to determine, dunno if this method can work or not. Yesterday I've done, but the input and output seems to occur at the same time LOL.
X10A Freedom
post Nov 7 2005, 11:08 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(ikanayam @ Nov 7 2005, 11:19 AM)
I am using tools, i can use them by connecting to my school servers and redirecting the output to my computer. Currently using Cadence icfb tools, but i've also used Primetime and Rulebase and some other stuff over the previous semesters. Primetime is very handy for calculating critical paths.

I have included modules from a file with rise and fall times of the gates which i was allowed to use for my projects last semester. The numbers in the brackets (rise, fall) are what you want to look at. Maybe you can use them as a reference.

........
*
hmm, seems like u specify it in verilog file, don't think u can do it for vhdl(very back end unfriendly)
anyways, just some question
when u model a jk ff in verilog form, normally if we use if else statement, we'll have 2 variables to specify
currently i'm using
CODE

if({j,k}=1)
q=~q

the thing i want to ask is that is there any other method other than using {j,k} method?
oh ya, can your eda tool support elsif statements? mine can't even though in the manual it said that it supported this standard
seems like only else if statement works instead of elsif
QUOTE(charge-n-go @ Nov 7 2005, 12:48 PM)
omg.. then I'm having big problem in deciding the delay, hahaha.

Well, trying to use VHDL and Max Plus 2 to determine, dunno if this method can work or not. Yesterday I've done, but the input and output seems to occur at the same time LOL.
*
if i recall correctly, maxplus 2 can't do timing analysis, that's why your timing is ideal because the tool itself runs under ideal condition
normally u'll need quartus 2
but quartus 2 can't really do much

p/s: if u want to look for eda tools
use emule.........sometimes u might just hit the jackpot
got a few from there.......but don't think u can find timing analysis tools from there.....normally u can only find certain mentor graphics stuff
TScharge-n-go
post Nov 10 2005, 11:46 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Thanx X10A (or should i call u X20A tongue.gif)

I've got myself a database.

NAND2 : 1.4ns , 4 tr
NAND3 : 1.8ns , 8 tr
NAND4 : 2.2ns , 10tr

AND2 : 2.4ns, 6tr
AND3 : 2.8ns, 8tr
AND4 : 3.2ns, 10tr

Isit correct if i assume:
1. NAND5 having 2.6ns delay and 14 transistors?
2. AND5 having 3.6ns delay and 12 transistors?

Thanx !

This post has been edited by charge-n-go: Nov 10 2005, 11:46 AM
ikanayam
post Nov 11 2005, 11:04 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

how old is that book? does it provide any schematic diagrams?
If your professor allows you to use it, i can give my transistor counts to you, much lower than those in your book tongue.gif
However my max gate inputs is only 3.
TScharge-n-go
post Nov 11 2005, 11:16 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

LoL, near 10 years ago (1997). Damn, never notice that in the beginning !
Principles of Digital Design by Daniel D Gajski, Uni of California.

I'll email my supervisor to ask about it next week bcoz he is still on holidays. Thanx for yr kind help dude biggrin.gif notworthy.gif
ikanayam
post Nov 11 2005, 11:27 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

np, i can even give you schematic diagrams etc if you need, i have to document all this some time anyway. I even have layout diagrams if you want, but you probably just need gate sizing figures.
Mr_47
post Nov 11 2005, 11:32 AM

***NOT MODERATOR *** Post : +10,000,000,00 Warn: 100%
*******
Senior Member
4,341 posts

Joined: Jan 2003
From: Bora-bora u jelly? Special: Age of multi-monitor



any satelite eng.. here???
TScharge-n-go
post Nov 11 2005, 12:14 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(ikanayam @ Nov 11 2005, 11:27 AM)
np, i can even give you schematic diagrams etc if you need, i have to document all this some time anyway. I even have layout diagrams if you want, but you probably just need gate sizing figures.
*
wow, tat's a lot of work. It's ok if u r busy.

Well, later might need yr full name to be in my project report tongue.gif
Hope my lecturer accept my proposal, will inform u a.s.a.p. notworthy.gif

btw, do u know any books have this kind of figures? Maybe i can js get from there and save your hassles.
SUSDavid83
post Nov 11 2005, 04:12 PM

20k VIP Club
*********
All Stars
52,874 posts

Joined: Jan 2003
During my training, I came across several soldering techniques like wave soldering, manual soldering and etc. These terms appear in the datasheets.

Any sites to go to have a brief idea on what are them?

Stitchy® w/o stitches
ikanayam
post Nov 11 2005, 04:37 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Nov 10 2005, 11:14 PM)
wow, tat's a lot of work. It's ok if u r busy.

Well, later might need yr full name to be in my project report tongue.gif
Hope my lecturer accept my proposal, will inform u a.s.a.p. notworthy.gif

btw, do u know any books have this kind of figures? Maybe i can js get from there and save your hassles.
*
My figures are not from books, they are from my own custom made gates tongue.gif

And don't worry about it, because i have to make presentations on my project etc so i need to document all this anyway.
ikanayam
post Nov 11 2005, 06:14 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

My conventional full CMOS 1 bit full adder/subtractor/AND layout, i'm proud of how compact it is since i slaved over it for many many hours tongue.gif

32 transistors total.
3 metal layers.
blue is metal1, pink is metal2, dashes of bright green is metal3

all crammed in about 10*10 microns. Using TSMC 0.18 micron design rules.

user posted image

Those people who think computer engineering is all science don't know shit. This is art in its truest form laugh.gif
TScharge-n-go
post Nov 11 2005, 08:41 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

David83, got try google-ing? I always find plenty of info there.

ikanayam, that's the 1st time i see this diagram,hahaha.
Well, engineering is the combination of science and art. Without creative mind we can't even come out a simple design. K7 and K8 architecture is an art man, we are going to see another masterpiece - Conroe next year biggrin.gif
Mavik
post Nov 15 2005, 01:21 PM

Patience is a virtue
Group Icon
Elite
7,826 posts

Joined: Jan 2003



QUOTE(David83 @ Nov 11 2005, 05:12 PM)
During my training, I came across several soldering techniques like wave soldering, manual soldering and etc. These terms appear in the datasheets.

Any sites to go to have a brief idea on what are them?

Stitchy® w/o stitches
*
Yes do a google search and you can find all of the information you need. Manual soldering is just soldering done manually either you are using a soldering iron, double soldering irons or even a blower.

Wave soldering,
http://www.tkb-4u.com/articles/soldering/p...m/procparam.php

Soldering is basically attempting to connect parts to boards or other parts. Each technique differs to the process. So it is not always the name of the soldering or the method but more of the process it is supposed to go through such as when soldering components through SMT machines or down back to the manual soldering.

Also different techniques are done for different components such as discrete, chip, ICs, components that come in different packages (tape, tray, etc..).
Mavik
post Nov 15 2005, 01:23 PM

Patience is a virtue
Group Icon
Elite
7,826 posts

Joined: Jan 2003



ikanayam, haha that is art? LOL. If only they hung pictures like that in the Lourve, then I could have related better rather than the new abstract and modern art pictures.

I've seen the drawings done by my PWB specialist in my company and when the final 6 layer board is completed, now that is true art tongue.gif Its so complicated that it blows me away haha smile.gif
Mavik
post Nov 15 2005, 01:25 PM

Patience is a virtue
Group Icon
Elite
7,826 posts

Joined: Jan 2003



As some people like to see the architecture as an art, to me personally I think the IC itself and the fabrication techniques are a work of art. Since coming from a solid state major in uni, I think the whole atomic physics of ICs are itself brilliant and mind boggling!
ikanayam
post Nov 16 2005, 04:44 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Have to build a 20k transistor chip next semester for my class, have any ideas about what it shoud do? There's still plenty of time but i like to plan in advance so i can think about it over the winter. What custom functionality would be marketable today?

This post has been edited by ikanayam: Nov 16 2005, 04:45 AM
TScharge-n-go
post Nov 16 2005, 09:13 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

haha, what about doing the whole CPU? tongue.gif
ikanayam
post Nov 16 2005, 09:19 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Nov 15 2005, 08:13 PM)
haha, what about doing the whole CPU? tongue.gif
*
it will be a rather limited CPU with only 20k transistors to work with. I'm thinking more of a custom application thing. Something new, or improving on something that's really lacking.
TScharge-n-go
post Nov 16 2005, 09:26 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

how about 16-bit Array multiplier? hahaha.
ikanayam
post Nov 16 2005, 10:26 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Nov 15 2005, 08:26 PM)
how about 16-bit Array multiplier? hahaha.
*
Nah, not original enough tongue.gif
And besides, that wouldn't be much of a challenge. It will be crap easy to layout since it's just the same thing over and over. I don't think we'll even be allowed to make something that basic tongue.gif

The guys who won last year made the digital portion of an RFID tag with some fancy 128bit encryption and all that.

This post has been edited by ikanayam: Nov 16 2005, 10:26 AM
X10A Freedom
post Nov 16 2005, 06:02 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


Viterbi Decoder
Turbo Decoder
Booth Multiplier
Carry Enhanced Multiplier(don't think u can google it)
DRAM(i think this is pretty easy, just the controller part might be otherwise)

This post has been edited by X10A Freedom: Nov 16 2005, 06:06 PM
martianunlimited
post Nov 16 2005, 06:32 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



By what will you be judged by?

A RF chip will be a good challenge, it's not going to be easy to design a on chip antenna, your layout must be extremely good to reduce cross coupling, images, and still have a working antenna; (more so if you want a nice layout without leaving a huge blank space for the antenna)

Plus since the last winner was somebody who did the digital portion, why don't you do the analog portion... no chance of using synthesis tools here.... and i am sure that your lecturers will put their hats off to you if you manage to pull this off

(this is going to do wonders if you plan to apply into a semicon company in the future)

This post has been edited by martianunlimited: Nov 16 2005, 06:33 PM
ikanayam
post Nov 16 2005, 06:34 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Nah, i'm a digital guy, i'm not into analog stuff. And we are not allowed to use synthesis tools anyway, it's all full custom layout. Well i still have 2 months more to think about what i want to do, and i want to win, so i'll really have to think it through.
empire23
post Nov 16 2005, 07:12 PM

Team Island Hopper
Group Icon
Staff
9,417 posts

Joined: Jan 2003
From: Bladin Point, Northern Territory
QUOTE(ikanayam @ Nov 16 2005, 09:19 AM)
it will be a rather limited CPU with only 20k transistors to work with. I'm thinking more of a custom application thing. Something new, or improving on something that's really lacking.
*
a unilock with encryption for guns? Firecontrol for disposable infrantry weapons?
X10A Freedom
post Nov 16 2005, 08:23 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(ikanayam @ Nov 16 2005, 06:34 PM)
Nah, i'm a digital guy, i'm not into analog stuff. And we are not allowed to use synthesis tools anyway, it's all full custom layout. Well i still have 2 months more to think about what i want to do, and i want to win, so i'll really have to think it through.
*
in this competition, you'll be judge based on wat? how useful your IC is? or how innovative it is? or other requirement?
ikanayam
post Nov 16 2005, 08:28 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Nov 16 2005, 07:23 AM)
in this competition, you'll be judge based on wat? how useful your IC is? or how innovative it is? or other requirement?
*
All the stuff you mentioned. The overall design and marketability. It's not just one thing.
ikanayam
post Nov 20 2005, 10:47 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Free bump + more computer porn! ikanayam's bare naked ALU! Global routing not included (yet)!

user posted image

About 1000 transistors.
martianunlimited
post Nov 20 2005, 07:40 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



Hehe... not bad... I would never have been able to do something like this.

Just a thought, why don't you restrict the metal layers (except maybe metal 1) to either horizontal only or vertical only.. (eg metal 2 horizontal only, metal 3 vertical only, metal 4 horizontal only, and then do your global routing on metal 5 and metal 6, (still restricted to the horizontal only or vertical only rule. Your layout will look a whole lot neater, but you will use an additional metal layer or 2.. (but you should have 7 layers or so to play with right? why not use all of them) Interconnect parasitics will be higher due to the vias... but you should be able to improve on noise and signal integrity. (especially if metal 2 has a ground horizontally routed)
ikanayam
post Nov 20 2005, 09:18 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(martianunlimited @ Nov 20 2005, 06:40 AM)
Hehe... not bad... I would never have been able to do something like this.

Just a thought, why don't you restrict the metal layers (except maybe metal 1) to either horizontal only or vertical only.. (eg metal 2 horizontal only, metal 3 vertical only, metal 4 horizontal only, and then do your global routing on metal 5 and metal 6, (still restricted to the horizontal only or vertical only rule. Your layout will look a whole lot neater, but you will use an additional metal layer or 2.. (but you should have 7 layers or so to play with right? why not use all of them) Interconnect parasitics will be higher due to the vias... but you should be able to improve on noise and signal integrity. (especially if metal 2 has a ground horizontally routed)
*
We are only allowed 4 metal layers tongue.gif
I could have made a more compact design if i had a couple more metal layers to play with. Oh well, engineers exist to find solutions within restrictions smile.gif

I wanted to do the directional layer thing for metal 3 and 4, but then i realized that i could squeeze everything in better by just using the metals the way i thought best. There are some semi directional layers within certain modules, but overall i think it's the best i could do for a size optimization. The final layout will be smaller than that for sure. This is just the initial floorplan. The modules were never optimized for a best fit in the overall design, i just did local size optimizations. However perhaps by good fortune, they seem to fit in pretty well. I would like the final layout to be more squarish though.

Btw it should be obvious that made all the modules except the ugly looking one at the bottom right corner (which was left to my partner). As usual, i will have to redo it (over thanksgiving break) laugh.gif

This post has been edited by ikanayam: Nov 20 2005, 09:25 PM
ikanayam
post Nov 28 2005, 04:27 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00



Complete and (almost) final layout. (but mostly an excuse for a bump tongue.gif )
user posted image
martianunlimited
post Nov 28 2005, 09:58 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



QUOTE(ikanayam @ Nov 28 2005, 04:27 PM)
Complete and (almost) final layout. (but mostly an excuse for a bump tongue.gif )
*
Dead image link for us here.. sad.gif
we will wait for your uni's host to come back up

CMU... Carnagie Mellon? Wow..... shocking.gif Now we know the difference between a local grad and a foreign grad
ikanayam
post Nov 29 2005, 02:48 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(martianunlimited @ Nov 28 2005, 08:58 AM)
Dead image link for us here.. sad.gif
we will wait for your uni's host to come back up

CMU... Carnagie Mellon? Wow..... shocking.gif Now we know the difference between a local grad and a foreign grad
*
Is it still dead? it's working for me. Hell yeah tested it and it works the 1st time biggrin.gif biggrin.gif biggrin.gif One more project to go!!

This also does not show you anything besides the fact that i love doing IC design. It's really not that hard to learn this stuff. The difficulty is in making a good design (small, fast, low power). That will "separate the men from the boys" according to my professor laugh.gif
TScharge-n-go
post Nov 29 2005, 12:18 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

CODE
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;


ENTITY CLA_3bit IS

PORT (
   a2, a1, a0 : IN BIT;
   b2, b1, b0 : IN BIT;
   c0  : IN BIT;
   c3  : OUT BIT;
   s2, s1, s0 : OUT BIT
  );
END ENTITY;


ARCHITECTURE cla of CLA_3bit IS

--signals declaration
SIGNAL bx2, bx1, bx0 : BIT;
SIGNAL p2,  p1,  p0 : BIT;
SIGNAL g2,  g1,  g0 : BIT;
SIGNAL c2,  c1  : BIT;


BEGIN
--B input XOR-ed (for subtract)
bx0 <= b0 XOR c0;
bx1 <= b1 XOR c0;
bx2 <= b2 XOR c0;

--Carry Lookahead (P & G generation)
g0 <= a0 AND bx0;
g1 <= a1 AND bx1;
g2 <= a2 AND bx2;
p0 <= a0 OR bx0;
p1 <= a1 OR bx1;
p2 <= a2 OR bx2;

--Carry Generation
c1 <= g0 OR (p0 AND c0);
c2 <= g1 OR (p1 AND g0) OR (p1 AND p0 AND c0);
c3 <= g2 OR (p2 AND g1) OR (p2 AND p1 AND g0) OR (p2 AND p1 AND p0 AND c0);


--Sum Output
s0 <= a0 XOR bx0 XOR c0;
s1 <= a1 XOR bx1 XOR c1;
s2 <= a2 XOR bx2 XOR c2;

END cla;



results:

user posted image

wondering why i get so many 'spikes'.. anybody can help me? thanx wink.gif
martianunlimited
post Nov 29 2005, 12:41 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



The spikes are glitches due to timing...
just take an NAND gate for instance... if we change the input from 01 to 10 the result should be a constant 1 right? but if the input may change from 01->11->10 (the second bit changed a few pico seconds after the first bit, then you will end up with 1->0(glitch)->1
adding buffers help, or else you may want to make sure that each path is balanced and the input arrives together
ikanayam
post Nov 29 2005, 01:14 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

The glitches are not really a problem if the results are correct in the end, however you may want to deal with them to reduce power consumption. But yeah like martianunlimited says, if the paths all have similar length then there will be less glitching.

This post has been edited by ikanayam: Nov 29 2005, 01:14 PM
ikanayam
post Dec 2 2005, 10:35 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

It's finally done... final layout... almost 48 hours no sleep... project competition in a few hours... need... a nap...

user posted image

reduced the size from 4600 um sq to 3600 um sq. Hope this will be enough for t3h win!
TScharge-n-go
post Dec 3 2005, 12:40 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

martianunlimited,ikanayam, Thanx 4 the help smile.gif

//ikanayam, all the best to u.



I'm not sure why the result is like that. Sigh.....
Just trying to do like:
(A.B.L3 + A.B'.L2 + A.B'.L2 + A'B'.L0)' '
= ( (A.B.L3)' . (A.B'.L2)' . (A.B'.L2)' . (A'B'.L0)' )'

but kenot work sad.gif

CODE
LIBRARY ieee;
USE ieee.std_logic_1164.all;

ENTITY lu_1bit IS
PORT (
   a : in std_logic;
   b : in std_logic;
   l3 : in std_logic;
   l2 : in std_logic;
   l1 : in std_logic;
   l0 : in std_logic;
   o : out std_logic
  );
END ENTITY;


ARCHITECTURE lu OF lu_1bit IS

SIGNAL a_not, b_not: std_logic;
SIGNAL s1,s2,s3,s4 : std_logic;

BEGIN
a_not <= NOT a;
b_not <= NOT b;
s1  <= (a NAND b) NAND l3;
s2  <= (a NAND b_not) NAND l2;
s3  <= (a_not NAND b) NAND l1;
s4  <= (a_not NAND b_not) NAND l0;
o  <= ((s1 NAND s2) NAND s3) NAND s4;

END lu;




Attached thumbnail(s)
Attached Image
TScharge-n-go
post Dec 3 2005, 12:43 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

This is the original one (without converting to NAND) and its results:

CODE

a_not <= NOT a;
b_not <= NOT b;
s1  <= a AND b AND l3;
s2  <= a AND b_not AND l2;
s3  <= a_not AND b AND l1;
s4  <= a_not AND b_not AND l0;
o  <= s1 OR s2 OR s3 OR s4;





Attached thumbnail(s)
Attached Image
ikanayam
post Dec 3 2005, 01:05 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Dec 2 2005, 11:40 AM)
CODE

BEGIN
a_not <= NOT a;
b_not <= NOT b;
s1  <= (a NAND b) NAND l3;
s2  <= (a NAND b_not) NAND l2;
s3  <= (a_not NAND b) NAND l1;
s4  <= (a_not NAND b_not) NAND l0;
o  <= ((s1 NAND s2) NAND s3) NAND s4;

END lu;

*
Ok, i think the problem is that you are using the brackets wrong. I am not familiar with VHDL, but i think they all behave the same when it comes to the basics :P

(a NAND b) NAND l3 = ((A.B)'.L3)'

which is not the same as
a NAND b NAND l3 = (A.B.L3)'

So you probably want to do it like the 2nd one. Remove the brackets and you should be good.

This post has been edited by ikanayam: Dec 3 2005, 01:07 AM
martianunlimited
post Dec 3 2005, 08:39 AM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



Ikan is right, there is no operator precedence, and it's just left to right (i think you can look up your maths text book to find the precedence order, but nand, and,or and nor all have the same precedence; your de'morgans feels wrong though, you should have a NOR somewhere in your translation

Anyway, if you are willing to use NORs... try this instead (i used something called bubble technique (draw the logic with AND gates, then add bubbles. note: a' + b' = ( a . B )' and a'. b' = ( a + B )' )
S1 = (B NAND L3) NOR A_not ;
S2 = (A NAND L2) NOR B;
S3 = (B NAND L1) NOR A;
S4 = ((B_not NAND L0) NOR A; (don't really like this, but it's the best we can get); alternatively we can also use (A_not NAND L0) NOR B; your call, (you should decide base on which of the 2 signals switches more or have the higher loading, i chose the first one because the second one will give B 4 fan outs (but you save 1 logic gate (B_not is not used at all)

O = ( S1 nor S2 ) NAND (s3 nor S4)
or rather net1 = S1 NOR S2;
net2 = S3 NOR S4;
O = net1 NAND net2;

RTL design is also an artform... too bad i am dealing with mostly schematics. and don't have chance to optimize logic gates

I double checked the demorgan i am sure there was a mistake..
(A NAND B ) NAND L3 would have given you... ; (the cool.gif smiley is irritating)
A AND B OR L3_not (you can check the waveform)

P/S Ikan, keep up updated ya. We will have a celebration on this thread if you win (if you don't then we will drown our sorrow with virtual booze)

This post has been edited by martianunlimited: Dec 3 2005, 08:57 AM
TScharge-n-go
post Dec 3 2005, 09:59 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(ikanayam @ Dec 3 2005, 01:05 AM)
(a NAND cool.gif NAND l3 = ((A.cool.gif'.L3)'
which is not the same as
a NAND b NAND l3 = (A.B.L3)'
lol, i was so blur to notice last nite tongue.gif
btw, i'm using a NAND b NAND l3 at 1st, but the NAND syntax doesnt allow me to do so, and the compiler asked me to put into bracket form.


QUOTE(martianunlimited @ Dec 3 2005, 08:39 AM)
your de'morgans feels wrong though, you should have a NOR somewhere in your translation

O = ( S1 nor S2 ) NAND (s3 nor S4)
or rather net1 = S1 NOR S2;
net2 = S3 NOR S4;
O = net1 NAND net2;

P/S Ikan, keep up updated ya. We will have a celebration on this thread if you win (if you don't then we will drown our sorrow with virtual booze)
*
thanx for your long feedback biggrin.gif
anyway, i think my de morgan should be ok (pls refer to the diagram attached). I've tested with a set of input and it is identical to the original AND-OR. Maybe i should try your method as quoted above wink.gif
Hmm... how about building custom gate with vhdl tongue.gif
maybe i'll js define NAND3 component with this function:
output <= NOT (x AND y AND z);

well, fishy, we not only celebrate here if u win, but oso in the pizza thumbup.gif


Attached thumbnail(s)
Attached Image
nUtZ`
post Dec 3 2005, 10:12 AM

Regular
******
Senior Member
1,868 posts

Joined: Jan 2003
ahhh... memories.. biggrin.gif that stuff use to give me nightmares.... 24 hours in the lab.. smelly and squiting my eyes to see if there's any cross over wires...

as your project... why not build a Triple DES encryption chip?
martianunlimited
post Dec 3 2005, 10:28 AM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



QUOTE(charge-n-go @ Dec 3 2005, 09:59 AM)
lol, i was so blur to notice last nite tongue.gif
btw, i'm using a NAND b NAND l3 at 1st, but the NAND syntax doesnt allow me to do so, and the compiler asked me to put into bracket form.
thanx for your long feedback biggrin.gif
anyway, i think my de morgan should be ok (pls refer to the diagram attached). I've tested with a set of input and it is identical to the original AND-OR. Maybe i should try your method as quoted above wink.gif
Hmm... how about building custom gate with vhdl tongue.gif
maybe i'll js define NAND3 component with this function:
output <= NOT (x AND y AND z);

well, fishy, we not only celebrate here if u win, but oso in the pizza  thumbup.gif
*
Actually i meant the demorgan of A AND B AND S3; it should be A NAND S3 NOR B_not and not
A NAND B NAND S3

Err I am confused... according to your diagram S0-S3 is an input not the output of the AND3, anyway, from the circuit diagram i can see some possible optimization opportunity using Quin-McClusky.
Without using the 3-fanin logics, i believe that the equations i gave one of the most simplified. (of course O = NAND(NAND(A,B,S3),NAND(A,B',S2),NAND(A',B,S1),NAND(A',B',S0)) is even better than what anybody else here can give

I agree, a NAND3 macro will make your life a lot easier; (build the NAND4 and NOR3 and NOR4 while you are at it)

Btw, this is a mux right? why don't you use the case statement instead?
(have to look it up.. but it looks something like)

select := A & B; (concatenate the 2 bits)

CASE select IS
WHEN "00" => O <= S0;
WHEN "01" => O <= S1;
WHEN "10" => O <= S2;
WHEN "11" => O <= S3;
END CASE;

(Or are you trying to synthesize the codes?)

This post has been edited by martianunlimited: Dec 3 2005, 10:31 AM
TScharge-n-go
post Dec 3 2005, 10:51 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(martianunlimited @ Dec 3 2005, 10:28 AM)
Err I am confused... according to your diagram S0-S3 is an input not the output of the AND3, anyway, from the circuit diagram i can see some possible optimization opportunity using Quin-McClusky.
Without using the 3-fanin logics, i believe that the equations i gave one of the most simplified. (of course O = NAND(NAND(A,B,S3),NAND(A,B',S2),NAND(A',B,S1),NAND(A',B',S0)) is even better than what anybody else here can give
 
I agree, a NAND3 macro will make your life a lot easier; (build the NAND4 and NOR3 and NOR4 while you are at it)

Btw, this is a mux right? why don't you use the case statement instead?
(have to look it up.. but it looks something like)

select := A & B; (concatenate the 2 bits)

CASE select IS
   WHEN "00" => O <= S0;
   WHEN "01" => O <= S1;
   WHEN "10" => O <= S2;
   WHEN "11" => O <= S3;
END CASE;

(Or are you trying to synthesize the codes?)
haha, sorry. actually the diagram is drawn long ago (during my 1st sem). The naming is not updated yet. the S3 -> S0 in diagram is actually the L3 -> L0 in the code tongue.gif

Well, this is not a MUX actually, more like a 4-bit selector. MUX implementation will take 2x more transistors and delays. This design has 16 functions actually, but i only choose 4 of them due to the limitation of 20 instructions only for my FYP.

btw, wat is "Quin-McClusky", hahaha.

Here is the comparison of this design and the mux approach.

This post has been edited by charge-n-go: Dec 3 2005, 10:55 AM
martianunlimited
post Dec 3 2005, 11:36 AM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



err.. the left circuit is still a mux, (X and Y is the select and S0-S3 are the inputs) Just map the following

Left -> Right
X=>S0
Y=>S1;

S3 => output of NOT;
S2 => output of XOR;
S1=> output of OR and
S0 => output of AND

The bottom mux circiut on the right is identical to the left circuit

Quine McCluskey (I can never spell that properly, hence i keep using the name QM) is a reduction technique to reduce the logic to a SOP (sum of products). QM gives most benefit when you are dealing with a lot of outputs (eg. BCD convertor, LCD interface), otherwise K-Map would be easier (i suggested QM because you have 6 inputs, and it's not easy to build a 6 input K-Map)

http://en.wikipedia.org/wiki/Quine-McCluskey_algorithm
(Using QM on my digital clock circuit got me a A+ for "digital logic and design") tongue.gif (i didn't know that there was a 2 digit decimal->BCD then a BCD->LCD convertor and i actually did a 6 bit binary number -> LCD (14 outputs.. VERY painful to reduce... (especially for the LCD for the first digit)

This post has been edited by martianunlimited: Dec 3 2005, 11:37 AM
ikanayam
post Dec 3 2005, 01:31 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(nUtZ` @ Dec 2 2005, 09:12 PM)
ahhh... memories.. biggrin.gif that stuff use to give me nightmares.... 24 hours in the lab.. smelly and squiting my eyes to see if there's any cross over wires...

as your project... why not build a Triple DES encryption chip?
*
That's the stuff that keeps me up man, i like it a lot. Once i start doing it i don't eat and i don't sleep. I only stop when my eyes start losing focus laugh.gif

Triple DES encryption... i'll keep that in mind, i still have a lot of time to think about it.
siaokia
post Dec 3 2005, 08:22 PM

HIii.. HikHikHik!!
Group Icon
VIP
1,811 posts

Joined: Jan 2003
From: ...too mUch...


Report in.. Final Year in Electronic Eng. smile.gif
X10A Freedom
post Dec 3 2005, 10:45 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Dec 3 2005, 09:59 AM)
lol, i was so blur to notice last nite tongue.gif
btw, i'm using a NAND b NAND l3 at 1st, but the NAND syntax doesnt allow me to do so, and the compiler asked me to put into bracket form.
thanx for your long feedback biggrin.gif
anyway, i think my de morgan should be ok (pls refer to the diagram attached). I've tested with a set of input and it is identical to the original AND-OR. Maybe i should try your method as quoted above wink.gif
Hmm... how about building custom gate with vhdl tongue.gif
maybe i'll js define NAND3 component with this function:
output <= NOT (x AND y AND z);

well, fishy, we not only celebrate here if u win, but oso in the pizza  thumbup.gif
*
from the bold sentence, this is due to the fact maxplus 2 doesn't have NAND3
it only has NAND2
theoratically(based on the actual standard), it should be supported......but u know, they done this to suite their hardware requirement, that's why sometimes people prefer to use hdl designer and modelsim to do their simulation because these tools are created to support the standard(and not like Altera etc which build the software to support their hardware)
building custom gates? i don't think it's possible with vhdl........unless they allow you to do UDP(User Defined Primitives) which so far MaxPlus2 isn't supported(and i think vhdl doesn't have these, only Verilog as far as i know)

QUOTE(charge-n-go @ Dec 3 2005, 10:51 AM)
btw, wat is "Quin-McClusky", hahaha.

Here is the comparison of this design and the mux approach.
*
better alternative to k-map
but i always use multisim to simplify my design(yah, i'm lazy), that software uses QM method

QUOTE(martianunlimited @ Dec 3 2005, 11:36 AM)
Quine McCluskey (I can never spell that properly, hence i keep using the name QM) is a reduction technique to reduce the logic to a SOP (sum of products). QM gives most benefit when you are dealing with a lot of outputs (eg. BCD convertor, LCD interface), otherwise K-Map would be easier (i suggested QM because you have 6 inputs, and it's not easy to build a 6 input K-Map)

http://en.wikipedia.org/wiki/Quine-McCluskey_algorithm
(Using QM on my digital clock circuit got me a A+ for "digital logic and design") tongue.gif (i didn't know that there was a 2 digit decimal->BCD then a BCD->LCD convertor and i actually did a 6 bit binary number -> LCD (14 outputs.. VERY painful to reduce... (especially for the LCD for the first digit)
*
actually, QM is more suited for odd number variable, if it's even number, k-map is easier, but no matter what, it's not as accurate as QM(k-map needs more practice to grasp the correct method, while QM is tedious, but more easier to pick up and highly accurate)



p/s: anyone modelled a RAM using Verilog b4? mine seems to have problem(minor delay when combined with the controller)

dual-port RAM
CODE

module ram_test4(data_out, data_in, r_add, w_add, clk, write_en, read_en);
output[5:0] data_out;  //data output for read signal
input[5:0] r_add, w_add;  //read and write address
input[5:0] data_in;   //data input to be written to RAM
input clk, write_en, read_en;    //enable write option

reg[5:0] mem[35:1];

assign data_out = read_en? mem[r_add]:5'bz;

always@(posedge write_en)
begin
if(write_en)
mem[w_add]<=data_in;
else
mem[w_add]<=mem[w_add];
end

endmodule


write controller
CODE

module write_control3(en_write1, en_write2, w_add, clk, reset, en);
output[5:0] w_add;
output en_write1, en_write2;
input clk, reset, en;

reg[6:0] count;
reg[5:0] w_add;
reg en_write1, en_write2;

always@(posedge reset or posedge clk)
begin
if(reset)
 count<=7'b0;

else if(~en)
 count <= count;

else if(count<35 && en==1)
 count <= count + 1;

else if(count<70 && en==1)
 count <= count + 1;

else if(count>69 && en==1)
 count <= 7'b1;
end

always@(en or clk)
begin
if(count<36)
begin
 w_add <= count[5:0];
 en_write1 <= en;
 en_write2 <= 1'b0;
end
else if(count<71)
begin
 w_add <= count[5:0]-35;
 en_write1 <= 1'b0;
 en_write2 <= en;
end
end
endmodule


read control
CODE

module read_control2(en_read1, en_read2, r_add, clk, reset, en);
output[5:0] r_add;
output en_read1, en_read2;
input clk, reset, en;

reg[6:0] count;
reg[5:0] r_add;
reg en_read1, en_read2;

always@(posedge reset or posedge clk)
begin
if(reset)
 count<=7'b0;

else if(~en)
begin
 count <= count;
 en_read1 <= 1'b0;
 en_read2 <= 1'b0;

 
end
else if(count<35 && en==1)
begin
 count <= count + 1;
 en_read1 <= 1'b1;
 en_read2 <= 1'b0;

end
else if(count<70 && en==1)
begin
 count <= count + 1;
 en_read1 <= 1'b0;
 en_read2 <= 1'b1;  

end
else if(count>69 && en==1)
 count <= 7'b1;
end

always@(en)
begin
if(count<36)
begin
 r_add <= 36 - count[5:0];

end
else if(count<71)
begin
 r_add <= 71 - count[5:0];

end
end
endmodule


problem with the simulation is that it supposed to store data 0-34
but upon reading out the data, it stored 1-35 doh.gif
i was wondering if there's a solution to it

This post has been edited by X10A Freedom: Dec 3 2005, 11:07 PM
ikanayam
post Dec 4 2005, 12:01 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Dec 3 2005, 09:45 AM)
dual-port RAM
CODE

module ram_test4(data_out, data_in, r_add, w_add, clk, write_en, read_en);
output[5:0] data_out;  //data output for read signal
input[5:0] r_add, w_add;  //read and write address
input[5:0] data_in;   //data input to be written to RAM
input clk, write_en, read_en;    //enable write option

reg[5:0] [B]mem[35:1];[/B]

assign data_out = read_en? mem[r_add]:5'bz;

always@(posedge write_en)
begin
if(write_en)
mem[w_add]<=data_in;
else
mem[w_add]<=mem[w_add];
end

endmodule


problem with the simulation is that it supposed to store data 0-34
but upon reading out the data, it stored 1-35 doh.gif
i was wondering if there's a solution to it
*
Could it be because of what i highlighted? or you really meant it to be that way? (too lazy to read the entire thing tongue.gif )
jinaun
post Dec 4 2005, 12:24 AM

where are my stars???
Group Icon
Elite
6,139 posts

Joined: Jan 2003
emm.. 1 noob question here..

you guys uses programming languages to design dies physically?

how does it gets from codes on screen to gates/transistors level on silicon?

i've checked abit here http://www.cadence.com/ and i seems nowdays chips are software designed... right?

all along i tot it was design on broadsheets of papers..etc etc like electronics circuits diagrams

This post has been edited by jinaun: Dec 4 2005, 12:31 AM
X10A Freedom
post Dec 4 2005, 12:34 AM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(ikanayam @ Dec 4 2005, 12:01 AM)
Could it be because of what i highlighted? or you really meant it to be that way? (too lazy to read the entire thing tongue.gif )
*
it was meant to be this way
but it might be it, i haven't check that though
the reason i make it that way coz the write controller(it's actually a counter) always starts from 1 when it receices a posedge(which means you'll never get a 0 at posedge)
and i make the write address to be the same as the counter
therefore i made the mem depth to 35:1 instead of 34:0

QUOTE(jinaun @ Dec 4 2005, 12:24 AM)
emm.. 1 noob question here..

you guys uses programming languages to design dies physically?

how does it gets from codes on screen to gates/transistors level on silicon?
*
use synthesis tools
but u're code needs to be in RTL form instead of behavioral form since synthesis tool cannot synthesize behavioral coding
traditional method is to convert it manually(that means u have to know the logic circuit based on the codes and then convert it to transistor level)
silkworm
post Dec 4 2005, 08:56 AM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


Never used verilog and VHDL lessons were 8 years ago, but I'll give it my 2 sen...
address is 6 bits wide, but memory depth is only 35 words, what's up with that?
you're using the same counter as the address and data input? then address 0 will never be accessed and the first data will always be 1.
you can make your controllers easier by having an extra 1 address bit and then using the most significant bit to flip EN to enable RAM bank number 2, but that'd only work if you let the counter run the full length of the addressable range (0-63).

This post has been edited by silkworm: Dec 4 2005, 12:29 PM
TScharge-n-go
post Dec 4 2005, 09:46 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(X10A Freedom @ Dec 3 2005, 10:45 PM)
from the bold sentence, this is due to the fact maxplus 2 doesn't have NAND3
it only has NAND2
theoratically(based on the actual standard), it should be supported......but u know, they done this to suite their hardware requirement, that's why sometimes people prefer to use hdl designer and modelsim to do their simulation because these tools are created to support the standard(and not like Altera etc which build the software to support their hardware)
building custom gates? i don't think it's possible with vhdl........unless they allow you to do UDP(User Defined Primitives) which so far MaxPlus2 isn't supported(and i think vhdl doesn't have these, only Verilog as far as i know)
I've successfully 'built' NAND_3 and NAND_4. It's quite easy actually, hahah. (it's better to say 'described' a new gate) tongue.gif

CODE
LIBRARY ieee;
USE ieee.std_logic_1164.all;

ENTITY nand_3 IS
PORT(
  x, y, z : IN STD_LOGIC;
  output  : OUT STD_LOGIC
 );
END ENTITY;


ARCHITECTURE n3 OF nand_3 IS

BEGIN
output <= NOT(x AND y AND z);

END n3;

X10A Freedom
post Dec 4 2005, 01:19 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(silkworm @ Dec 4 2005, 08:56 AM)
Never used verilog and VHDL lessons were 8 years ago, but I'll give it my 2 sen...
address is 6 bits wide, but memory depth is only 35 words, what's up with that?
you're using the same counter as the address and data input? then address 0 will never be accessed and the first data will always be 1.
you can make your controllers easier by having an extra 1 address bit and then using the most significant bit to flip EN to enable RAM bank number 2, but that'd only work if you let the counter run the full length of the addressable range (0-63).
*
35 = 100011 which is 6-bit
i never meant to access address 0
that's why i put my ram depth [35:1]
unless putting it that way still make the first address 000000
i'll check and see if the first address is still 000000, but that could be the problem though
the problem is that i need to run the counter till 70
the first 35 to store the first set of data, the subsequent to store the 2nd set
any ideas of making the controller easier other than the things u suggested?

This post has been edited by X10A Freedom: Dec 4 2005, 01:20 PM
silkworm
post Dec 4 2005, 03:15 PM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


any particular reason why your dataset/memory depth is not a power of 2 other than "it says so on the question paper" ? tongue.gif
can try an oddball addressing scheme, like using gray codes instead of a straight binary sequence, but that'd only complicate things more laugh.gif
X10A Freedom
post Dec 4 2005, 04:49 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(silkworm @ Dec 4 2005, 03:15 PM)
any particular reason why your dataset/memory depth is not a power of 2 other than "it says so on the question paper" ? tongue.gif
can try an oddball addressing scheme, like using gray codes instead of a straight binary sequence, but that'd only complicate things more laugh.gif
*
gray code?! nah, i need the data in sequence and i don't need to make my design any complicated again, gotta rush this behavioral coding to structural one as i think i'm running out of time tongue.gif
it's not said so in the question paper though(coz there's no question paper at all laugh.gif XD)
it's the requirement of my viterbi decoder
it's 35 because the decoder will process data every 35 time frames and each time frame it'll need to store a value that was processed within a certain section, hence accumulating 35 values after 35 times frames for the other section to process the data

anyways, memory depth is power 2 of wat? i didn't knew there were such restriction/criteria

anyways, i've already solve the problem, seems like it's due to the clock edge not being able to detect the first data, therefore i synchronize the data input with the write controller smile.gif

QUOTE(charge-n-go @ Dec 4 2005, 09:46 AM)
I've successfully 'built' NAND_3 and NAND_4. It's quite easy actually, hahah. (it's better to say 'described' a new gate) tongue.gif

CODE
LIBRARY ieee;
USE ieee.std_logic_1164.all;

ENTITY nand_3 IS
PORT(
  x, y, z : IN STD_LOGIC;
  output  : OUT STD_LOGIC
 );
END ENTITY;
ARCHITECTURE n3 OF nand_3 IS

BEGIN
output <= NOT(x AND y AND z);

END n3;

*
haha, that's not really creating a UDP(User Defined Primitive) coz the transistor count is different tongue.gif (3 input NAND has 6 transistor while 3 input AND with NOT will have 8)
maybe u should at least try Quartus 2 or HDL designer & Modelsim, it's better than Maxplus2 tongue.gif

This post has been edited by X10A Freedom: Dec 4 2005, 04:59 PM
TScharge-n-go
post Dec 4 2005, 08:02 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE
haha, that's not really creating a UDP(User Defined Primitive) coz the transistor count is different tongue.gif (3 input NAND has 6 transistor while 3 input AND with NOT will have 8)
maybe u should at least try Quartus 2 or HDL designer & Modelsim, it's better than Maxplus2 tongue.gif
lol, u r right. but my project requirement is Max Plus 2 as the main simulation tool. I js wanna prove that AND-OR combination can be reduced to NAND.
pakau
post Dec 6 2005, 12:30 AM

New Member
*
Junior Member
20 posts

Joined: Jan 2003
From: AlorSetar>>Ipoh>>AlorSetar


can i join dis club? biggrin.gif biggrin.gif biggrin.gif
E&E Universiti Teknologi Petronas,dis jan06 shud be in 4th year 1st sem
ikanayam
post Dec 9 2005, 02:44 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Metal transistor gates? Should be very interesting to ECE people smile.gif

http://news.com.com/Intel+aims+for+faster,...ml?tag=nefd.top
martianunlimited
post Dec 10 2005, 08:02 AM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



Haha.. Ikan, I see you are in to process technology, this news is slightly older. It appears tweedledum and tweedledee (the nick name given to Intel and AMD by theInquirer.net) are trying to go one up against each other. Who do you think have the better technology?

Shall we see the first chip using those technologies by 2010? with 30+nm technology? (SOI is very expensive to produce... but i am not sure how well can they scale the transistors with the new dopants only time will etll i guess wink.gif

improvement on SOI http://news.zdnet.com/2100-9584_22-5982887...g=zdfd.newsfeed

In other news, Infineon is going to spin off their DRAM business. Looks like only the microprocessors iare doing well. FLASH and DRAM isn't doing so hot (Samsung got sued, infienon spinning off, Agilent spun off, Spansion IPO-ing)
evangelion
post Dec 10 2005, 07:25 PM

The Ancient Ones
******
Senior Member
1,057 posts

Joined: Jan 2003
Help me to find the value of the DC output from 240V input ->380v AC voltage


Attached thumbnail(s)
Attached Image
ikanayam
post Dec 10 2005, 07:28 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

How about showing us what you've done so far?
evangelion
post Dec 10 2005, 07:34 PM

The Ancient Ones
******
Senior Member
1,057 posts

Joined: Jan 2003
tell me the answer...quick lah!!
Shouldn't be that hard, got 533v for the DC........Assuming the input is in rms value
ikanayam
post Dec 10 2005, 07:35 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(evangelion @ Dec 10 2005, 06:34 AM)
tell me the answer...quick lah!!
Shouldn't be that hard, got  533v for the DC........Assuming the input is in rms value
*
I'm sorry but this is not a do my homework thread.
evangelion
post Dec 10 2005, 07:37 PM

The Ancient Ones
******
Senior Member
1,057 posts

Joined: Jan 2003
this is not homework.it's a bloody bet on who is right with my uncle
ikanayam
post Dec 10 2005, 07:38 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(evangelion @ Dec 10 2005, 06:37 AM)
this is not homework.it's a bloody bet on who is right with my uncle
*
ok, then show us what you've done so far, it's not that hard is it?
evangelion
post Dec 10 2005, 07:41 PM

The Ancient Ones
******
Senior Member
1,057 posts

Joined: Jan 2003
wat is ur answer then?.i not trying to rude, however u r testing my patients for ur answer.
ikanayam
post Dec 10 2005, 07:42 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(evangelion @ Dec 10 2005, 06:41 AM)
wat is ur answer then?.i not trying to rude, however u r testing my patients for ur answer.
*
I have given my answer at least a couple of times already. I'm waiting for you to give yours. It's ok, i'm patient, i can wait.
evangelion
post Dec 10 2005, 07:45 PM

The Ancient Ones
******
Senior Member
1,057 posts

Joined: Jan 2003
wat's ur answer then???
mine is 533 V
ikanayam
post Dec 10 2005, 07:46 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

How did you get 533v? Come on, share your knowledge with us all.

This post has been edited by ikanayam: Dec 10 2005, 07:47 PM
evangelion
post Dec 10 2005, 07:50 PM

The Ancient Ones
******
Senior Member
1,057 posts

Joined: Jan 2003
rms value is 380v which is a step up of 240v
sqrt(2)*380 u will get 533v, after rectified etc
Anyone can confirm this?!

This post has been edited by evangelion: Dec 10 2005, 07:53 PM
X10A Freedom
post Dec 10 2005, 09:29 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


forgive my crapiness in electrical field, but your connection on the capacitor seems wierd.......
and why put so many of those capcitors in series? since when do we need so many capacitors to act as a filter?(unless this is some industrial transformer whistling.gif)
and if you want an answer, please show us your working first b4 demanding one, or else don't expect to get one
anyways, if the connection of the capacitor is such, then i would say your answer is wrong
and to know why? look more info on transformer, u forgot about the current value(since when secondary voltage is equal to the load voltage? whistling.gif)

This post has been edited by X10A Freedom: Dec 10 2005, 09:33 PM
evangelion
post Dec 10 2005, 11:44 PM

The Ancient Ones
******
Senior Member
1,057 posts

Joined: Jan 2003
The circuit is from one of those high end sound system, use "light bulbs " instead of transistors.

No offence but I'm getting annoyed of people saying "please show us your working first b4 demanding one, or else don't expect to get one".
U should know how to analyse the circuit therefore "sqrt(2)*380=1.4*280=533" I just need a few guys to reconfirm my answer. If im wrong, pls cough out the reason and explainations

THIS IS NOT A HOMEWORK, IN MY OPINION, THIS SHOULD BE A SIMPLE CIRCUIT ANALYSIS. (i'm wishing good RF EEE engineer is in this forum they definitely know how to analyse this circuit, BTW i suck in RF circuit tongue.gif)

This post has been edited by evangelion: Dec 10 2005, 11:50 PM
X10A Freedom
post Dec 11 2005, 11:58 AM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(evangelion @ Dec 10 2005, 11:44 PM)
The circuit is from one of those high end sound system, use "light bulbs " instead of transistors.

No offence but I'm getting annoyed of people saying "please show us your working first b4 demanding one, or else don't expect to get one".
U should know how to analyse the circuit therefore "sqrt(2)*380=1.4*280=533" I just need a few guys to reconfirm my answer. If im wrong, pls cough out the reason and explainations

THIS IS NOT A HOMEWORK, IN MY OPINION, THIS SHOULD BE A SIMPLE CIRCUIT ANALYSIS. (i'm wishing good RF EEE engineer is in this forum they definitely know how to analyse this circuit, BTW i suck in RF circuit tongue.gif)
*
i don't care it's a homework or not
but when u want to know whether your answer is correct or wrong, u should show the working first so that people who are knowledgeable in this field can tell u what when wrong in your analysis(if there's any).
based on your previous posts, u just keep on demanding an answer, is that call learning to you? even if it's not a homework, u should also have the same attitude in analysing the circuit, not demanding one doh.gif
anyways, seeing such "attitude" from you, the more i won't want to waste my time flipping my notes to tell you the answer(since i suck in electrical as i told you, still need to flip through my notes)
and i already gave you a slight hint to solve the problem, but u decide to ignore it, too bad then(but then, my analysis can be wrong too whistling.gif)
centrino
post Dec 11 2005, 01:43 PM

New Member
*
Junior Member
43 posts

Joined: Oct 2004
From: Johore


QUOTE(charge-n-go @ Oct 12 2005, 06:46 PM)
Yup, you are correct, DEMUX looks redundant.

Last time i don't have the 'EN' line for other functional units such as multiplier and divider, so hv to use DEMUX to choose the output. This semester i suppose to minimize the gates and critical path, at the same time remains some good speed.

Sigh.. 1st time doing this kinda thing, many problems here and there. I'm sure you are expert liao fishchicken  thumbup.gif
* Who's studying electronic engineering course pls report in here.
We can help each other in any difficulties in engineering wink.gif


AFAIK, only a few are taking this course :
ikanayam, silkworm, empire23, winc87, charge-n-go....

Who else, mari mari lapor diri biggrin.gif
*
elecronic engineering- usm 2nd yr, he i am! anyways may i noe wat major u all r in?
martianunlimited
post Dec 11 2005, 04:46 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



Err. THAT is NOT an RF circuit, that's a simple power circuit (a halfwave rectifier with ripple suppression caps)
BTW.. your answer is wrong
It's half wave rectified, and there is at least a 0.7 V voltage drop across the diode.

Don't be lazy and do your integration.
INT (0 to 1/2F) [ 380 (sin t * 2pi*f) dt ] / (1/f)
(you will need to subtract ~0.7V later, I'd say -1V will give you the better estimate)

No insults, but you'd really need to work on your power circuits especially the definations, there is NO way a 380V Peak to Peak sinusoid can give you 533V DC (other than it violating the laws of physics)
a 380V RMS = 533V peak to peak though.

Centrino, welcome to the club, I am Tele major, working for the past few years as a design engineer in a semicon firm.
HEY! How could you miss me! I am also an electronics engineering fellow! vmad.gif

This post has been edited by martianunlimited: Dec 11 2005, 05:12 PM
ikanayam
post Dec 11 2005, 04:49 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

No way martianunlimited, you're supposed to stfu and just give the answer!! laugh.gif

Hm... my focus is digital ASIC design and computer (microprocessor) architecture. Although i haven't learned much of the latter yet.

This post has been edited by ikanayam: Dec 11 2005, 04:50 PM
martianunlimited
post Dec 11 2005, 05:04 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



oopss... Gomen.. gomenasai ... how can anybody forgive my transgressions?

Anyway Ikan, do you plan to come back to Malaysia to work after you graduate? I am sure my department will be impressed with what you did. (I know i am.. tongue.gif) (or do you plan to do your masters in the US, work in California, and become so much richer than any engineer in Malaysia (sigh... we are seriously underpaid here))
ikanayam
post Dec 11 2005, 05:14 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Yes, the engineers here do get paid a lot more. My roommate just graduated and landed a job with Oracle, 90K USD a year basic salary, so many other perks, 35 hours a week working hours.
How can anyone say no to that? laugh.gif

I will work in Malaysia. I was thinking of working here before but then some things happened which made me realize that life is short and i don't want to be far away from my dearest cat. It sounds funny but it's true. Money was never the main factor in my consideration, and now it's even less so. I am more interested in how much i can learn at work. I want to be able to learn as much as possible.
martianunlimited
post Dec 11 2005, 05:27 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



QUOTE(ikanayam @ Dec 11 2005, 05:14 PM)
Yes, the engineers here do get paid a lot more. My roommate just graduated and landed a job with Oracle, 90K USD a year basic salary, so many other perks, 35 hours a week working hours.
How can anyone say no to that? laugh.gif

I will work in Malaysia. I was thinking of working here before but then some things happened which made me realize that life is short and i don't want to be far away from my dearest cat. It sounds funny but it's true. Money was never the main factor in my consideration, and now it's even less so. I am more interested in how much i can learn at work. I want to be able to learn as much as possible.
*
Ah... Spoken like a true engineer... the noble intentions of improving the lives of others with knowledge as our only reward (a 6-digit yearly paycheck would still be sweet though.. tongue.gif) Look me up when you are back in Malaysia and are looking for a job. (the job is in Penang though)

Choice of companies do give a varied depth of knowledge, a large MNC will give you deep, narrow knowledge of your field (you have to learn about the other fields in your own time though) while a small startup will give you broad knowledge (including about engineering and management operations). Thankfully we have a lot of company to choose from in Malaysia, and engineers in malaysia don't really have to worry about being jobless
winc87
post Dec 11 2005, 05:27 PM

w|Nc
******
Senior Member
1,621 posts

Joined: Jan 2003
From: Seremban



martianunlimited,

Does the quantity of capacitor in series affect the ripple voltage? The more the better in smoothing the DC voltage?
martianunlimited
post Dec 11 2005, 05:35 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



hmmm.. a capacitor in series will give you less capacitance i think,
i remember is 1/Ceff = 1/C1 + 1/C2 + 1/C3...
while a capacitor in parallel will give you higher capacitance Ceff = C1+C2 +C3
how does that translate to better ripple suppression?.. i guess higher capacitances will store more charge and be able to supress ripples better. (takes much longer to charge though (e-1/rc*t)
I wouldn't know... i don't normally deal with power circuits, i only had 1 semester of that.
X10A Freedom
post Dec 11 2005, 05:41 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


hmm, wat am i major in? i also don't know tongue.gif
probably digital ASIC design since my FYP is on that
winc87
post Dec 11 2005, 05:43 PM

w|Nc
******
Senior Member
1,621 posts

Joined: Jan 2003
From: Seremban



Yes you are right. I studied about it in my first semester. I'm just wondering why the circuit consists of so many capacitors as a good capacitor with high capacitance will do the job well enough.

Thank you for clarify my doubt. smile.gif
ikanayam
post Dec 11 2005, 05:47 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(martianunlimited @ Dec 11 2005, 04:27 AM)
Ah... Spoken like a true engineer... the noble intentions of improving the lives of others with knowledge as our only reward (a 6-digit yearly paycheck would still be sweet though.. tongue.gif) Look me up when you are back in Malaysia and are looking for a job. (the job is in Penang though)

Choice of companies do give a varied depth of knowledge, a large MNC will give you deep, narrow knowledge of your field (you have to learn about the other fields in your own time though) while a small startup will give you broad knowledge (including about engineering and management operations). Thankfully we have a lot of company to choose from in Malaysia, and engineers in malaysia don't really have to worry about being jobless
*
Hm... i would not say i have noble intentions. And an engineer would probably be objective instead of sentimental and go for the big bucks. I am doing it mostly for some things i cannot let go of. There are just too many limitations to what a paycheck can get me, as far as i'm concerned. I am however obsessed about learning and that will be what i look for.

I would say startups interest me more, i like the uncertainty... startups can go either way and i like that. And there is also less red tape. More room to grow.
silkworm
post Dec 12 2005, 07:57 AM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(martianunlimited @ Dec 11 2005, 04:46 PM)
Err. THAT is NOT an RF circuit, that's a simple power circuit (a halfwave rectifier with ripple suppression caps)
BTW.. your answer is wrong
It's half wave rectified, and there is at least a 0.7 V voltage drop across the diode.
Half-right. wink.gif
It's actually a voltage doubler circuit, which is equivalent to "two halfwave rectifiers in series". In the textbooks I looked at, the circuit is almost always introduced in the diode section, just after full-wave bridge rectifiers. No idea why he'd want to series two caps on each "side' though, that leads to smaller capacitance as you've mentioned.
ray_
post Dec 13 2005, 10:07 AM

Getting Started
Group Icon
Elite
169 posts

Joined: Mar 2005
From: Wallowing in my Pool of Ignorance (splat..splat..)
I didn't know a geek channel existed at LYN.

Lets type all in caps. I'll start. 1uF.
fridaynite
post Dec 19 2005, 01:49 AM

Lunatic
******
Senior Member
1,075 posts

Joined: Jan 2003
From: Dusk till Dawn


Wow.. a computer engineering thread. cool..

hello bros! me UTM grad Comp. Eng. 3 yrs ago

pspice and matlab also 'rusty' already.. tongue.gif
boxsystem
post Dec 19 2005, 02:58 AM

Legend
******
Senior Member
1,573 posts

Joined: Jan 2003
From: Nilai, Negeri Sembilan
A final year student here. Will be graduating soon enough(hopefully). Anyway, any of u guys have any links to Image processing C++ source codes and information. Stucked with my FYP(final year project). hehe.
NV20
post Dec 19 2005, 01:42 PM

Casual
***
Junior Member
409 posts

Joined: Sep 2004


i am curious about the clock speed of every processor

i know the processor speed is determined by the processor multiplier times the system front side bus.

but my question here is, how is the multiplier of the processor be set? is it set internally in the processor die, or set by the capacitor and resistor value on the processor ( like the old version of amd athlon, where the pencil trick work). what is different inside the processor core of a 2.0GHz P4 and a 3.0GHz P4 of the same architecture, same FSB, same socket design?
Mavik
post Dec 19 2005, 05:21 PM

Patience is a virtue
Group Icon
Elite
7,826 posts

Joined: Jan 2003



If we knew the complete architecture of those chips, everyone could be producing the same processors.

There are several possibilities for the difference. Some of them may be processing techniques, others may be the entire core itself. It all depends on the design and processing technique used for these processors.

Some of them could come from the same wafer but cannot pass certain tests under rated speed so they usually down clock the processor and sell them off cheaper. A good example would be AMD Thoroughbred chips whereby their immense overclockability was because these chips were actually Athlon XP 2800+ or 3000+ but couldn't keep to spec under those speeds so they were sold as XP 1700+ or 1800+
martianunlimited
post Dec 27 2005, 12:37 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



The multipliers are set by a fuse, (they actually burn it in after they bin it for different speeds) AFAIK there is no way to unfuse the fuse and since there is a heat spreader on the die i don't think the pencil trick will work. (the pencil lead provides another path for the current to flow so it's similar to the fuse being there)

evilhomura89
post Dec 29 2005, 12:41 PM

Look at all my stars!!
*******
Senior Member
5,886 posts

Joined: Jan 2003
From: BM


Normal secondary student here,
I would like to ask which type of course u guyz are taking.
BTW, does this got to with Computer Engineering or Electronic Engineering??

Plz correct me.
Thx.
stanum
post Dec 29 2005, 07:04 PM

SharePoint enthusiast
*****
Senior Member
706 posts

Joined: Jan 2005


opps... software engineering student here.. a bit late to register here as computer engineering member heh?
martianunlimited
post Dec 30 2005, 06:55 AM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



EvilHomura: Computer Engineering is a field in Electronics Engineering wink.gif (Well at least in some colleges and universities).

My degree reads B.Eng (Hons) Electronics. Well according to my dean, he/the uni did this, so that we are not "locked" to a particular field after we graduate. (in a sense he was right, since i am a tele major working for a computer company and i am not using any of the Maxwell's Equation at all (fundamental equations for all Electromagnetic calculations. it's like Ohm's law for Electrical calculation and newton's Law for all classical physics calculations)
TScharge-n-go
post Dec 30 2005, 07:51 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(fridaynite @ Dec 19 2005, 01:49 AM)
Wow.. a computer engineering thread. cool..
hello bros! me UTM grad Comp. Eng. 3 yrs ago
pspice and matlab also 'rusty' already.. tongue.gif
*
QUOTE(boxsystem @ Dec 19 2005, 02:58 AM)
A final year student here. Will be graduating soon enough(hopefully). Anyway, any of u guys have any links to Image processing C++ source codes and information. Stucked with my FYP(final year project). hehe.
*
QUOTE(stanum @ Dec 29 2005, 07:04 PM)
opps... software engineering student here.. a bit late to register here as computer engineering member heh?
*
Welcome to the group.

Boxsystem, maybe u can share the knowledge about image processing here biggrin.gif
X10A Freedom
post Dec 31 2005, 12:10 AM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


image processing, sounds like a final year project a few groups in my college that are doing.......don't tell you me are one of them rolleyes.gif

This post has been edited by X10A Freedom: Dec 31 2005, 12:11 AM
Survivor
post Jan 1 2006, 03:34 PM

I will survive...
*****
Senior Member
795 posts

Joined: Jan 2003


Hahahaha...never knew that LYN will have an engineering student topic. I was an Electronics Engineering student (majoring in Computer) in MMU malacca campus. Graduated last year.
Mr_47
post Jan 3 2006, 03:37 AM

***NOT MODERATOR *** Post : +10,000,000,00 Warn: 100%
*******
Senior Member
4,341 posts

Joined: Jan 2003
From: Bora-bora u jelly? Special: Age of multi-monitor



QUOTE(Survivor @ Jan 1 2006, 03:34 PM)
Hahahaha...never knew that LYN will have an engineering student topic. I was an Electronics Engineering student (majoring in Computer) in MMU malacca campus. Graduated last year.
*
my cousin will take that course later...

so how izzit???

izzit cool???
faez_ridzal
post Jan 3 2006, 07:20 AM

New Member
*
Junior Member
25 posts

Joined: Jan 2006


Wahaha, surprised myself LYN has a geek thread!
Am reading Computer Science in Imperial College London now, by any chance I can work part time in Low Yat when I get back to Msia?

Miss cheap priced computer stuff, be proud Malaysians! LOL.
Survivor
post Jan 9 2006, 10:20 AM

I will survive...
*****
Senior Member
795 posts

Joined: Jan 2003


QUOTE(Mr_47 @ Jan 3 2006, 03:37 AM)
my cousin will take that course later...

so how izzit???

izzit cool???
*
Well...from my opinion...the course is good since i m interested in it...good future and salary for engineers as job opportunities are alot, especially in Penang and Kulim area with many MNC setting up their fac...MMU has all the facilities you can get...as for lecturers, well, u have good ones and u have bad ones...believe me when i tell u that most studies have to do by urself rather than listening to lectures in classroom...first few years will be tough but after that it will get easier after you get used to it...Hope your cousin will like it smile.gif
Mr_47
post Jan 9 2006, 03:06 PM

***NOT MODERATOR *** Post : +10,000,000,00 Warn: 100%
*******
Senior Member
4,341 posts

Joined: Jan 2003
From: Bora-bora u jelly? Special: Age of multi-monitor



QUOTE(Survivor @ Jan 9 2006, 10:20 AM)
Well...from my opinion...the course is good since i m interested in it...good future and salary for engineers as job opportunities are alot, especially in Penang and Kulim area with many MNC setting up their fac...MMU has all the facilities you can get...as for lecturers, well, u have good ones and u have bad ones...believe me when i tell u that most studies have to do by urself rather than listening to lectures in classroom...first few years will be tough but after that it will get easier after you get used to it...Hope your cousin will like it smile.gif
*
better be,,, mmu is so $$$$$ sweat.gif sweat.gif sweat.gif
Survivor
post Jan 9 2006, 05:50 PM

I will survive...
*****
Senior Member
795 posts

Joined: Jan 2003


QUOTE(Mr_47 @ Jan 9 2006, 03:06 PM)
better be,,, mmu is so $$$$$ sweat.gif  sweat.gif  sweat.gif
*
hahaha...well...that's why it is call MMU = Money Making University tongue.gif
Mr_47
post Jan 9 2006, 07:08 PM

***NOT MODERATOR *** Post : +10,000,000,00 Warn: 100%
*******
Senior Member
4,341 posts

Joined: Jan 2003
From: Bora-bora u jelly? Special: Age of multi-monitor



QUOTE(Survivor @ Jan 9 2006, 05:50 PM)
hahaha...well...that's why it is call MMU = Money Making University tongue.gif
*
MY god LOL! laugh.gif
NEO.rage
post Jan 10 2006, 08:23 PM

I got Unifi. Do you?
*****
Senior Member
798 posts

Joined: Jan 2003
From: Cheras <-> Bangsar


QUOTE(Mr_47 @ Jan 9 2006, 04:06 PM)
better be,,, mmu is so $$$$$ sweat.gif  sweat.gif  sweat.gif
*
got ptptipoo what tongue.gif
hao
post Jan 10 2006, 10:56 PM

Quills™
*******
Senior Member
5,469 posts

Joined: Jan 2003
From: PJ


QUOTE(Mr_47 @ Jan 9 2006, 03:06 PM)
better be,,, mmu is so $$$$$ sweat.gif  sweat.gif  sweat.gif
*
Actually MMU's fee is cheap among other private universities.

Btw, Bachelor(HONS) Electronics Gamma Year here. Going to sit for Physical Electonics exam this thursday and this subject is one of the pain in the ars subject to me sweat.gif
Mr_47
post Jan 11 2006, 01:07 AM

***NOT MODERATOR *** Post : +10,000,000,00 Warn: 100%
*******
Senior Member
4,341 posts

Joined: Jan 2003
From: Bora-bora u jelly? Special: Age of multi-monitor



QUOTE(hao @ Jan 10 2006, 10:56 PM)
Actually MMU's fee is cheap among other private universities.

Btw, Bachelor(HONS) Electronics Gamma Year here. Going to sit for Physical Electonics exam this thursday and this subject is one of the pain in the ars subject to me  sweat.gif
*
list down other hard core subject pls,,,,,,,,,,,,,,,,,,,,,,,,,! unsure.gif
Survivor
post Jan 11 2006, 08:29 AM

I will survive...
*****
Senior Member
795 posts

Joined: Jan 2003


QUOTE(hao @ Jan 10 2006, 10:56 PM)
Actually MMU's fee is cheap among other private universities.

Btw, Bachelor(HONS) Electronics Gamma Year here. Going to sit for Physical Electonics exam this thursday and this subject is one of the pain in the ars subject to me  sweat.gif
*
Physical Electronics...i've been through that bro...hehehe...mind u it is not the toughest...wait till u take on Digital Signal Processing on ur Epsilon year...hope you wont cry...hahaha tongue.gif
hao
post Jan 11 2006, 12:28 PM

Quills™
*******
Senior Member
5,469 posts

Joined: Jan 2003
From: PJ


QUOTE(Survivor @ Jan 11 2006, 08:29 AM)
Physical Electronics...i've been through that bro...hehehe...mind u it is not the toughest...wait till u take on Digital Signal Processing on ur Epsilon year...hope you wont cry...hahaha tongue.gif
*
Arrr... nooooo.... my eyes!! MY EYES!!!! argghhh....


laugh.gif

This post has been edited by hao: Jan 11 2006, 12:28 PM
LJS
post Jan 12 2006, 11:53 AM

Enthusiast
*****
Senior Member
823 posts

Joined: Jan 2003
From: u can't find me


EE here,now still studying

i'm noob about computer engineering

join to gain knowledge

haha,mmu ppl at here
just after finish physical electronics,not easy ler

the most easy is LCD part,just hamtam wat u had study

This post has been edited by LJS: Jan 12 2006, 11:56 AM
Survivor
post Jan 12 2006, 12:09 PM

I will survive...
*****
Senior Member
795 posts

Joined: Jan 2003


QUOTE(LJS @ Jan 12 2006, 11:53 AM)
EE here,now still studying

i'm noob about computer engineering

join to gain knowledge

haha,mmu ppl at here
just after finish physical electronics,not easy ler

the most easy is LCD part,just hamtam wat u had study
*
Ahhhh....those were the days...which i wont miss even one bit...hahaha...life in MMU has gone! passed! life with mid-term and final has passed! over! biggrin.gif it is not easy...but i think all of you who were still studying will make it, no doubt! smile.gif
Ever think about your Final Year Project yet? Jonas is a good supervisor to choose if you were in Mlk campus.
LJS
post Jan 12 2006, 12:26 PM

Enthusiast
*****
Senior Member
823 posts

Joined: Jan 2003
From: u can't find me


now i just gamma year,saturday got ECP COA final test then holiday liao
pukarix
post Jan 13 2006, 02:09 AM

Regular
******
Senior Member
1,053 posts

Joined: Nov 2004
Reporting in...studying E&E in Germany..second semester...u ll die standing here...hahah juz joking...n to tell u the truth,germans are damn good in programming...really a newbie n i hope i can get some help form d sifus here regarding EE stuff...cheers
Enforcer^Shizophremia!!
post Jan 13 2006, 02:26 AM

Casual
***
Junior Member
382 posts

Joined: Jan 2003
From: centerfold


sorry guys.18 yars old noob here.wanna ask computer eng n electrical eng is same course ke? also kat mmu ada compu eng ke? as far as i know only JMTI got computer engineering.
TScharge-n-go
post Jan 13 2006, 11:35 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(hao @ Jan 10 2006, 10:56 PM)
Actually MMU's fee is cheap among other private universities.

Btw, Bachelor(HONS) Electronics Gamma Year here. Going to sit for Physical Electonics exam this thursday and this subject is one of the pain in the ars subject to me  sweat.gif
*
QUOTE(Mr_47 @ Jan 11 2006, 01:07 AM)
list down other hard core subject pls,,,,,,,,,,,,,,,,,,,,,,,,,! unsure.gif
*
QUOTE(Survivor @ Jan 11 2006, 08:29 AM)
Physical Electronics...i've been through that bro...hehehe...mind u it is not the toughest...wait till u take on Digital Signal Processing on ur Epsilon year...hope you wont cry...hahaha tongue.gif
*
QUOTE(hao @ Jan 11 2006, 12:28 PM)
Arrr... nooooo.... my eyes!! MY EYES!!!! argghhh....
laugh.gif
*
QUOTE(LJS @ Jan 12 2006, 11:53 AM)
EE here,now still studying

i'm noob about computer engineering

join to gain knowledge

haha,mmu ppl at here
just after finish physical electronics,not easy ler

the most easy is LCD part,just hamtam wat u had study
*
QUOTE(Survivor @ Jan 12 2006, 12:09 PM)
Ahhhh....those were the days...which i wont miss even one bit...hahaha...life in MMU has gone! passed! life with mid-term and final has passed! over!  biggrin.gif it is not easy...but i think all of you who were still studying will make it, no doubt! smile.gif
Ever think about your Final Year Project yet? Jonas is a good supervisor to choose if you were in Mlk campus.
*
QUOTE(LJS @ Jan 12 2006, 12:26 PM)
now i just gamma year,saturday got ECP COA final test then holiday liao
*
QUOTE(Enforcer^Shizophremia!! @ Jan 13 2006, 02:26 AM)
sorry guys.18 yars old noob here.wanna ask computer eng n electrical eng is same course ke? also kat mmu ada compu eng ke? as far as i know only JMTI got computer engineering.
*
Thanx for your participation. However, this thread is aimed to do technical discussion rather than chit chatting or ask about education stuff. You have Kopitiam section and Education Essential threads for these.

Anyway, welcome to the technical discussion, hope you can contribute some knowledge to enlighten us in the future wink.gif
nUtZ`
post Jan 13 2006, 01:28 PM

Regular
******
Senior Member
1,868 posts

Joined: Jan 2003
QUOTE(ikanayam @ Dec 11 2005, 04:49 PM)
No way martianunlimited, you're supposed to stfu and just give the answer!! laugh.gif

Hm... my focus is digital ASIC design and computer (microprocessor) architecture. Although i haven't learned much of the latter yet.
*
good.. you make the microP.. i crash it with my dodgy software and blame you for it.. wink.gif
nUtZ`
post Jan 13 2006, 01:37 PM

Regular
******
Senior Member
1,868 posts

Joined: Jan 2003
QUOTE(ikanayam @ Dec 9 2005, 02:44 PM)
Metal transistor gates? Should be very interesting to ECE people smile.gif

http://news.com.com/Intel+aims+for+faster,...ml?tag=nefd.top
*
this should intrest you.. smile.gif

http://www.realworldtech.com/page.cfm?Arti...RWT123005001504
cafuheva
post Jan 13 2006, 09:01 PM

Newbie
******
Senior Member
1,188 posts

Joined: Jan 2003

I have one questions. I want to know, what is the application of Verilog nowaday. Thank you.
X10A Freedom
post Jan 13 2006, 09:24 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(cafuheva @ Jan 13 2006, 09:01 PM)
I have one questions. I want to know, what is the application of Verilog nowaday. Thank you.
*
it's the same as people asking what is the application of VHDL
anyways, it's basically the same as VHDL which is to model Digital Systems (ASIC etc)
but advantageous of verilog over vhdl? more back end friendly
which means it's easier for softwares to synthesize it to physical form compare to VHDL(since VHDL is quite an abstract language)

This post has been edited by X10A Freedom: Jan 13 2006, 09:26 PM
ailinchia
post Jan 17 2006, 04:22 PM

Getting Started
**
Junior Member
55 posts

Joined: Jun 2005
From: Subang Jaya, Selangor


reporting in.. 4th year student studying EE engineering in UTM. smile.gif
Survivor
post Jan 18 2006, 08:31 AM

I will survive...
*****
Senior Member
795 posts

Joined: Jan 2003


I still remember during the first year of the core engineering studies, there is this Circuit Theory which we call (Sakit Theory) <--- Study until Kepala Sakit tongue.gif and there is another Field Theory which we call (Fail Theory) <--- Only 5 out of 200+ students passed their Mid-Term test that year!
ikanayam
post Jan 18 2006, 01:28 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Hehehe finally thought of what i want to do for my design project... a high speed 32bit multiply-add (FMA or MAD) unit. Lots of use in GPUs.
cafuheva
post Jan 18 2006, 10:15 PM

Newbie
******
Senior Member
1,188 posts

Joined: Jan 2003

QUOTE(Survivor @ Jan 18 2006, 08:31 AM)
I still remember during the first year of the core engineering studies, there is this Circuit Theory which we call (Sakit Theory) <--- Study until Kepala Sakit tongue.gif and there is another Field Theory which we call (Fail Theory) <--- Only 5 out of 200+ students passed their Mid-Term test that year!
*
Circuit Theory is dam hard subject in EE. Most of students fail to score each sem because of this subject. And some of them drop out and lucky person just got cukup makan and others repeat for this subject minimum 2 times. Horror sweat.gif
martianunlimited
post Jan 18 2006, 11:32 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



QUOTE(cafuheva @ Jan 18 2006, 10:15 PM)
Circuit Theory is dam hard subject in EE. Most of students fail to score each sem because of this subject. And some of them drop out and lucky person just got cukup makan and others repeat for this subject minimum 2 times. Horror sweat.gif
*
It's KCL, KVL, Thevenin's and Norton's... you are going to use it alot if your work is related to analog design... (FA (failure analysis) people should also probably need this)

ikan, decided on the adder style yet? i am very sure it won't be 32 cascaded full adders tongue.gif. keep us updated on the progress ya. (btw.. 32bit floating point or 32bit double)
ikanayam
post Jan 19 2006, 01:46 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(martianunlimited @ Jan 18 2006, 10:32 AM)
It's KCL, KVL, Thevenin's and Norton's... you are going to use it alot if your work is related to analog design... (FA (failure analysis) people should also probably need this)

ikan, decided on the adder style yet? i am very sure it won't be 32 cascaded full adders tongue.gif. keep us updated on the progress ya. (btw.. 32bit floating point or 32bit double)
*
32bit ieee FP format. Maybe i will avoid denormals by rounding to 0 to simplify/make it faster.

I have not decided on the architectural details just yet, but i'm thinking of using a higher radix adder to speed things up and reduce the carry chain. And besides it will be a fun experiment. Right now i am just imagining the outline of the major functional units and their flow in order to increase parallelism between the multiply and the add. Multiply-Adds units can be used to do add, sub, multiply, and even fast division (through software) so i thought it would be pretty interesting.
ikanayam
post Jan 19 2006, 10:30 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

zomg i think i will have to reduce it to 16 bits... they are limiting the pins to 100 pins so a 32 bit design would be a bit too big... >128pins...

Either that or i can cheat and do a 32bit multiplier only... easy to design, layout and pipeline... but then that is so uncool functionality wise.... sigh... decisions decisions....
TScharge-n-go
post Jan 19 2006, 10:51 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(cafuheva @ Jan 18 2006, 10:15 PM)
Circuit Theory is dam hard subject in EE. Most of students fail to score each sem because of this subject. And some of them drop out and lucky person just got cukup makan and others repeat for this subject minimum 2 times. Horror sweat.gif
*
Circuit theory isnt hard actually, but circuit signal is much tougher, esp the analog part. IMHO, Field theory and electromagnetic is the most troublesome one tongue.gif


QUOTE(ikanayam @ Jan 19 2006, 10:30 PM)
zomg i think i will have to reduce it to 16 bits... they are limiting the pins to 100 pins so a 32 bit design would be a bit too big... >128pins...

Either that or i can cheat and do a 32bit multiplier only... easy to design, layout and pipeline... but then that is so uncool functionality wise.... sigh... decisions decisions....
*
haha, 16-bit with 32-bit multiplier seems ok mah. how about 32-bit input, 16-bit output? During the output u can pipeline it, make it 2 clocks wink.gif
ikanayam
post Jan 19 2006, 10:59 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Jan 19 2006, 09:51 AM)
haha, 16-bit with 32-bit multiplier seems ok mah. how about 32-bit input, 16-bit output? During the output u can pipeline it, make it 2 clocks wink.gif
*
32bit input to 16 bit output would be quite pointless i think... the whole point is to have the accuracy preserved when moving to 32 bits. I'm wondering if i should make a 32bit FP multiplier (been done before but i think i can do them 1 better but still... so uncool tongue.gif) or a 16bit MAD/FMAC unit. I think the latter is a lot cooler functionality wise. Doing a 32bit MAD/FMAC would be too big for the scope of the project and too time consuming for the layout part i think. I'm not too confident in the abilities of my project partners tongue.gif
ikanayam
post Jan 28 2006, 04:59 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Bump for great justice!

So i am going ahead with my 16 bit FMAC. The specs are almost done, and soon verilog work will start in parallel with schematics. Still experimenting with adder designs for my 24 bit adder....
TScharge-n-go
post Jan 28 2006, 07:55 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

What technique are u going to use for adder?
Will it be a balance of speed and size, or should be as small as possible?

With your current gate library, don't think can have more than CLA2 tongue.gif
ikanayam
post Jan 28 2006, 09:33 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Jan 27 2006, 06:55 PM)
What technique are u going to use for adder?
Will it be a balance of speed and size, or should be as small as possible?

With your current gate library, don't think can have more than CLA2 tongue.gif
*
I'm using a variable length carry select adder, hand tuned specially for my purpose. The objective is be speed. I am still experimenting with some other hybrid adders, so this can still change.

Btw i'm adding a register array to enable thread switching to avoid pipeline stalls. Something like what the R5xx does. But very basic.
TScharge-n-go
post Jan 28 2006, 11:16 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(ikanayam @ Jan 28 2006, 09:33 AM)
I'm using a variable length carry select adder, hand tuned specially for my purpose. The objective is be speed. I am still experimenting with some other hybrid adders, so this can still change.

Btw i'm adding a register array to enable thread switching to avoid pipeline stalls. Something like what the R5xx does. But very basic.
*
I have some hybrid adders built from yr standard gates tongue.gif
If you want I can send u the config and the propagation delay biggrin.gif

However, i only has 1 carry select implementation for the speediest adder wink.gif
ikanayam
post Feb 9 2006, 02:00 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

(bump for great justice)

IBM kicks Power6 to 6ghz. Pretty amazing if true.
http://www.theregister.co.uk/2006/02/07/ibm_power6_show/
sieg_wahrheit
post Feb 9 2006, 09:05 PM

Getting Started
**
Junior Member
157 posts

Joined: Dec 2004


hello guys,

i'm taking dld this semester and my project is to design a circuit for decorating lights using only basic logic gates (yes, i know this is very2 basic). the lights must have 6 blinking sequence.

any opinions from all the gurus here? thanx.. notworthy.gif notworthy.gif notworthy.gif


empire23
post Feb 9 2006, 09:09 PM

Team Island Hopper
Group Icon
Staff
9,417 posts

Joined: Jan 2003
From: Bladin Point, Northern Territory
QUOTE(sieg_wahrheit @ Feb 9 2006, 09:05 PM)
hello guys,

i'm taking dld this semester and my project is to design a circuit for decorating lights using only basic logic gates (yes, i know this is very2 basic). the lights must have 6 blinking sequence.

any opinions from all the gurus here? thanx.. notworthy.gif  notworthy.gif  notworthy.gif
*
What sequence do the lights have blink in? If you're able to use flip flops, just use a counter and strikeout sequences you don't need with a "don't care"


sieg_wahrheit
post Feb 9 2006, 09:20 PM

Getting Started
**
Junior Member
157 posts

Joined: Dec 2004


just custom-made sequences. okay, will try it. thanx empire!! notworthy.gif notworthy.gif notworthy.gif
X10A Freedom
post Feb 13 2006, 05:52 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


anyone can recommend me a software that can do transistor timing simulation(other than Orcad PSPICE or AccuSim 2)





p/s: but if u have the AccuSim 2 software, please PM me
Demon_Eyes_Kyo
post Feb 17 2006, 08:08 AM

On my way
****
Senior Member
682 posts

Joined: Nov 2004



2nd year computer engineering student reporting biggrin.gif
WhatCanIdo
post Feb 17 2006, 02:50 PM

Getting Started
**
Junior Member
100 posts

Joined: Jan 2006
From: Earth


hm... interesting. just finish reading the whole thread, make me remind the old days in uni. lot of fun, as well as headache!! ok, any registration form, pls??

B.Eng (EES Eng), graduated in 1999, ukm.

currently work in mnc, electronic board/product manufacturing (more in product testing functionality), will be joining new co soon jumping in dev job. (hehe... that's why i have plenty of time this few weeks to really join LYN)

hopes to help, but i have a seriously rusted brain, so can only say things about real application (that i know of), not theory (maybe yes if do some serious revision/reading)!!

This post has been edited by WhatCanIdo: Feb 17 2006, 02:52 PM
ikanayam
post Feb 17 2006, 07:02 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

just succeeded in making my pulsed latch work biggrin.gif biggrin.gif biggrin.gif

that just sliced register delay by about 50%. No more master slave FFs. pulsed latch ftw!!!!
X10A Freedom
post Feb 21 2006, 10:33 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


anybody use transmission gates for specific gate operations(xor, mux etc) ?
just wondering, in transistor schematic simulation, did u all place buffers at the input of the transmission gates to avoid it feedbacking the signal back to the input?

This post has been edited by X10A Freedom: Feb 21 2006, 10:34 PM
ikanayam
post Feb 21 2006, 10:49 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Feb 21 2006, 09:33 AM)
anybody use transmission gates for specific gate operations(xor, mux etc) ?
just wondering, in transistor schematic simulation, did u all place buffers at the input of the transmission gates to avoid it feedbacking the signal back to the input?
*
I use them a lot for my current project. You need buffering if you are stacking pass transistors to speed up and clean up the signal.
X10A Freedom
post Feb 21 2006, 11:25 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


hmm, let's say u form a Xor gate using transmission gates
and if u don't put buffers at the inputs
can u're circuit still run? the simulator at my college is giving me lots of headaches
if i can use transmission gates, it'll greatly reduce my transistor counts doh.gif
ikanayam
post Feb 21 2006, 11:33 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Feb 21 2006, 10:25 AM)
hmm, let's say u form a Xor gate using transmission gates
and if u don't put buffers at the inputs
can u're circuit still run? the simulator at my college is giving me lots of headaches
if i can use transmission gates, it'll greatly reduce my transistor counts doh.gif
*
My xor gate uses 6 transistors, with pass transistor logic (including transmission gates). The alternative is to use an xnor and inverter on the output (total 8 transistors). What simulator are you using? I'm using spectre for analog simulations (packaged with Cadence).

Pass logic without proper buffering can cause a lot of slowdowns and problems. You have to experiment to find the best settings.
X10A Freedom
post Feb 22 2006, 06:48 AM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


using Design Architect bar the analogue library(apparently my college can't determine which analogue library we should use, so we have to use the digital nmos/pmos library)
the problem is, without putting a buffer at the input, the software apparently assume that the signal at the output of the transmission gate will feedback some signal to the input which in the end render the transmission gate not attractive anymore if i always need to put a buffer just to prevent the signal from feedbacking
ikanayam
post Feb 23 2006, 03:44 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Feb 21 2006, 05:48 PM)
using Design Architect bar the analogue library(apparently my college can't determine which analogue library we should use, so we have to use the digital nmos/pmos library)
the problem is, without putting a buffer at the input, the software apparently assume that the signal at the output of the transmission gate will feedback some signal to the input which in the end render the transmission gate not attractive anymore if i always need to put a buffer just to prevent the signal from feedbacking
*
Ok so unless your digital simulations can take care of signal degradation and all the funky stuff with pass logic, then it's pretty hard to actually be sure that it will work in a real circuit. Usually digital simulations can only output 1 and 0 so it does not accurately represent what happens in a real circuit.

I'm not sure what you mean by feedback but transmission gates are just like switches. They do not pass values in a single direction. A switch just completes a circuit, it does not care which direction the electrons flow. Transmission gates load the previous gates because they add capacitance and resistance. That's why stacking transmission gates is evil. Always ensure proper buffering when using them.
X10A Freedom
post Feb 23 2006, 07:24 AM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


yes, i know transmission gates are bidirectional
but the let's say i supply 1 at point A
and it suppose to flow out at point B when the switch is open
but, in the end the signal at point B flow to point A which is pretty ridiculous as voltage potential flow from high to low, not low to high
sigh, hope my college can quickly solve the software issue on the analogue simulator

TScharge-n-go
post Feb 25 2006, 04:20 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

I've been working on a register design in multiplier more than 2 days, problem still unsolved sad.gif


M0 (from bit 0 to 6)

Either INIT, MUL0 or MUL1 signal can enable the register.

During INIT state, the register is reset to '0'.

During MUL0 or MUL1 state,
it shifts right by 1-bit when M20 = 0.
it accepts AO input when M20 = 1.


Anyone knows the problem? Thanx !

VHDL Code:
» Click to show Spoiler - click again to hide... «


This post has been edited by charge-n-go: Feb 25 2006, 04:21 PM


Attached thumbnail(s)
Attached Image Attached Image
harrychoo
post Feb 26 2006, 11:55 AM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE(charge-n-go @ Feb 25 2006, 04:20 PM)
I've been working on a register design in multiplier more than 2 days, problem still unsolved sad.gif
M0 (from bit 0 to 6)

Either INIT, MUL0 or MUL1 signal can enable the register.

During INIT state, the register is reset to '0'.

During MUL0 or MUL1 state,
it shifts right by 1-bit when M20 = 0.
it accepts AO input when M20 = 1.
Anyone knows the problem? Thanx !

VHDL Code:
» Click to show Spoiler - click again to hide... «

*
hi there. i'm new here. degree for electronics. now working but my project now mostly in power electronics sweat.gif

i have been reading ur code and the schematic.

can u explain more details what u want to achieve and what problem u encountered? seems like from the code and schematic is nth wrong in ur explanation above.
TScharge-n-go
post Feb 28 2006, 09:50 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(harrychoo @ Feb 26 2006, 11:55 AM)
hi there. i'm new here. degree for electronics. now working but my project now mostly in power electronics  sweat.gif

i have been reading ur code and the schematic.

can u explain more details what u want to achieve and what problem u encountered? seems like from the code and schematic is nth wrong in ur explanation above.
*
The register should b able to take in the output from adder when M20 = '1'. It will shift right when M20 = '0'. However, my simulation shows some 'glitches' which affects the output during the clock edge.

Well, i think I've somehow solve the problem by using behavioral statement with some IF and ELSE statements. It only takes 2.4ns instead of 17.5ns. This MaxPlus2 really weird, it doesnt like structural coding for sequential circuits tongue.gif

Thanx for the help and welcome to this thread biggrin.gif

This post has been edited by charge-n-go: Feb 28 2006, 09:51 AM
X10A Freedom
post Feb 28 2006, 09:08 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


most of the times, certain operations are embedded into the fpga's lut
so when u use behavioral instead of structural, it gives the software more flexibility when synthesizing it into the real hardware
TScharge-n-go
post Mar 1 2006, 02:51 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

But the device is a Max7000B CPLD, i thought PLD should have standard logic cells? weird eh tongue.gif
ikanayam
post Mar 2 2006, 10:17 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Making logic for FPGA is not the same as ASIC. With an ASIC you are pretty much free to do what you want within the design rules, so you always want to use inverting logic and minimize it.
I'm guessing (i have only one semester's experience with FPGA programming) with an FPGA the rules are quite different and more limiting. You probably have a limited number of a certain type of gate? And the layout of the logic cells are somewhat fixed so you can't put everything where and how you want which would be optimal for an ASIC. I guess with FPGAs it's more like hand optimizing your program for a specific architecture, since the hardware is already "fixed" so you have to know exactly how the hardware works to get optimal performance on your software.

Btw i just got my 37bit adder to clock at 770MHz (up from 320MHz in my old design). Tonight i can take a break to celebrate laugh.gif

This post has been edited by ikanayam: Mar 2 2006, 10:20 AM
harrychoo
post Mar 2 2006, 10:59 AM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


anyone of u had experience in doin USB interface? Setting up the USB interface between MCU and PC side.
ikanayam
post Mar 2 2006, 11:10 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(harrychoo @ Mar 1 2006, 09:59 PM)
anyone of u had experience in doin USB interface? Setting up the USB interface between MCU and PC side.
*
What exactly do you want to know about it?
harrychoo
post Mar 2 2006, 12:45 PM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE(ikanayam @ Mar 2 2006, 11:10 AM)
What exactly do you want to know about it?
*
Some informations about it regarding like how to develop the driver on the PC side. I think MCU side should not be a problem.

Do u have any good reference website or books regarding USB interface. Maybe some applications examples will help.

Thanks
X10A Freedom
post Mar 2 2006, 08:17 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Mar 1 2006, 02:51 PM)
But the device is a Max7000B CPLD, i thought PLD should have standard logic cells? weird eh tongue.gif
*
it still utilizes lut's and muxes to do the work
muxes are good stuffs in terms of implementing arithmetic and sequential devices
ikanayam
post Mar 5 2006, 12:48 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

My adder is being changed again to a sparse tree based one. If i can hit 1ghz at 32bits i will sleep. Adders are so addictive (oooh the pun).

Btw chargey, you should look into CLA trees if you have time, you can get the 8 bit one to go at 3ghz i'm sure laugh.gif. Msg me and i'll send you a paper i have on different adder types including the CLA tree.

This post has been edited by ikanayam: Mar 5 2006, 12:53 PM
TScharge-n-go
post Mar 5 2006, 06:43 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

heh, that's cool, will msg u about it later biggrin.gif biggrin.gif

Well, i got an 8-bit Adder/Subtractor which theoretically can work at 3.5GHz, using CSA, CLA and RCA combination. However, it is quite large la, around 500+ transistors.


QUOTE
it still utilizes lut's and muxes to do the work
muxes are good stuffs in terms of implementing arithmetic and sequential devices

icic. I thought FPGA is the only one using LUT. tongue.gif

This post has been edited by charge-n-go: Mar 5 2006, 06:43 PM
X10A Freedom
post Mar 9 2006, 11:02 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


just wondering, is it possible to have a via that connects from metal 2 or 3 straight to the polysilicon?
coz currently it needs to be done in a ladder form
ikanayam
post Mar 10 2006, 02:26 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Mar 9 2006, 10:02 AM)
just wondering, is it possible to have a via that connects from metal 2 or 3 straight to the polysilicon?
coz currently it needs to be done in a ladder form
*
You need these vias: M3->M2, then M2->M1, then M1->poly. That's on TSMC 0.18micron rules. Maybe if you are using different design rules you might be able to make it but i don't see the difference since fabrication is done in layers.

What layout are you working on?

This post has been edited by ikanayam: Mar 10 2006, 02:34 AM
X10A Freedom
post Mar 10 2006, 06:55 AM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(ikanayam @ Mar 10 2006, 02:26 AM)
You need these vias: M3->M2, then M2->M1, then M1->poly. That's on TSMC 0.18micron rules. Maybe if you are using different design rules you might be able to make it but i don't see the difference since fabrication is done in layers.

What layout are you working on?
*
ya, that's wat i'm doing now, but i was hoping to be able to make a via straight down to the poly from any metal layer tongue.gif
i'm doing the layout for the memory section of the viterbi decoder
trying to use only metal 1 but it's quite difficult to maintain it at metal 1
ikanayam
post Mar 10 2006, 08:28 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Mar 9 2006, 05:55 PM)
ya, that's wat i'm doing now, but i was hoping to be able to make a via straight down to the poly from any metal layer tongue.gif
i'm doing the layout for the memory section of the viterbi decoder
trying to use only metal 1 but it's quite difficult to maintain it at metal 1
*
Hopefully you already know all this but i found this advice really useful: It may not be optimal to use all metal 1, or do so many local optimizations that global optimizations suffer. Also poly is evil, try to use it minimally and go to M2 if you need more wiggle space. I'm also using directional layers for the layout, so poly goes vertically, M1 goes horizontally, M2 vertically, M3 horizontally, M4 vertically. It's supposed to help with manufacturing also.

1st time doing layout? What memory is it? SRAM?

This post has been edited by ikanayam: Mar 10 2006, 08:35 AM
Annie
post Mar 10 2006, 08:35 AM

Getting Started
**
Junior Member
234 posts

Joined: Jan 2003
From: Petaling Jaya


QUOTE(X10A Freedom @ Mar 9 2006, 11:02 PM)
just wondering, is it possible to have a via that connects from metal 2 or 3 straight to the polysilicon?
coz currently it needs to be done in a ladder form
*
nope....u have to go thru poly contact to m1, then via1 to m2 and so on.
X10A Freedom
post Mar 10 2006, 07:24 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(ikanayam @ Mar 10 2006, 08:28 AM)
Hopefully you already know all this but i found this advice really useful: It may not be optimal to use all metal 1, or do so many local optimizations that global optimizations suffer. Also poly is evil, try to use it minimally and go to M2 if you need more wiggle space. I'm also using directional layers for the layout, so poly goes vertically, M1 goes horizontally, M2 vertically, M3 horizontally, M4 vertically. It's supposed to help with manufacturing also.

1st time doing layout? What memory is it? SRAM?
*
any useful links or books on mask layout?
i seriously need one though my fyp due date is soon tongue.gif
poly is evil? y eh? my lecturer told me otherwise sweat.gif
actually, i did try doing my layout using multiple layers of metals and use lesser poly
but the result isn't good as in the end all my metals is on top of my gates which i think it isn't good especially thermal dissipation

yah, first time doing layout
not doing SRAM, only latches(though i wanted to use pulsed latch, but the analogue library and the simulator came a bit too late as my project due date is soon)
SRAM needs a precharge circuit which i for one can't find any info about it sad.gif

thanks for the advice

This post has been edited by X10A Freedom: Mar 10 2006, 10:22 PM
ikanayam
post Mar 11 2006, 03:41 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Mar 10 2006, 06:24 AM)
any useful links or books on mask layout?
i seriously need one though my fyp due date is soon tongue.gif
poly is evil? y eh? my lecturer told me otherwise sweat.gif
actually, i did try doing my layout using multiple layers of metals and use lesser poly
but the result isn't good as in the end all my metals is on top of my gates which i think it isn't good especially thermal dissipation

yah, first time doing layout
not doing SRAM, only latches(though i wanted to use pulsed latch, but the analogue library and the simulator came a bit too late as my project due date is soon)
SRAM needs a precharge circuit which i for one can't find any info about it sad.gif

thanks for the advice
*
Poly is evil because it has about 10x the resistance of metal. So long poly lines are bad. You can still keep your basic gates to all M1 without much poly. But it may be wiser to keep directional metal layers because it might help a lot when doing global routing.

Don't worry about thermal dissipation unless you are going for something that clocks very high. AMD uses 13 metal layers for their latest chips. The few nanometers of metal aren't really going to affect dissipation. What design rules are you using? How many nanometers? How many metal layers? Give details biggrin.gif

I have a few layouts, i'll upload them later if you want to take a look at them. I don't know if it you can see anything in a big module, but maybe looking at the basic gates may give you some ideas.

This post has been edited by ikanayam: Mar 11 2006, 03:43 AM
arcan8888
post Mar 11 2006, 04:23 PM

Getting Started
**
Junior Member
157 posts

Joined: Mar 2005
From: KL


Hi,

Is there anyone out there who's working or involved in the semiconductor industry?
I desperately need a piece of silicon, in wafer form. I need it for my Final Year Project experiments. Because I only need 1 piece, it is very hard to buy from those semiconductor companies who sell them in bulk.

Details:

1. pure/new silicon wafer (untouched; doesnt contain any die, or hasnt been etched)
(1 0 0) orientation, p-type
2. around 4 to 6 inch in diameter (size doesnt really matter)
3. Can be slightly defective; slightly scratched at the side, or slight deformation, so long as more than 70-80% of the wafer is untouched. Semiconductor companys usually get rid of these kindda wafers.
4. I'm willing to a pay substancial amount. (please PM me the details and I'll give you the appropriate price)


If you have any information pertaining to this please reply in this thread or send me a PM.

Thanx alot.

This post has been edited by arcan8888: Mar 12 2006, 03:15 AM
X10A Freedom
post Mar 11 2006, 08:16 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(ikanayam @ Mar 11 2006, 03:41 AM)
Poly is evil because it has about 10x the resistance of metal. So long poly lines are bad. You can still keep your basic gates to all M1 without much poly. But it may be wiser to keep directional metal layers because it might help a lot when doing global routing.

Don't worry about thermal dissipation unless you are going for something that clocks very high. AMD uses 13 metal layers for their latest chips. The few nanometers of metal aren't really going to affect dissipation. What design rules are you using? How many nanometers? How many metal layers? Give details biggrin.gif

I have a few layouts, i'll upload them later if you want to take a look at them. I don't know if it you can see anything in a big module, but maybe looking at the basic gates may give you some ideas.
*
i'm not sure about the design rules(i'm drawing based on lamda method instead of micron method), how to check eh? sweat.gif
i think there isn't any restriction to metal layer though i would prefer to maintain it at 3-4 layers
ikanayam
post Mar 13 2006, 05:37 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Mar 11 2006, 07:16 AM)
i'm not sure about the design rules(i'm drawing based on lamda method instead of micron method), how to check eh? sweat.gif
i think there isn't any restriction to metal layer though i would prefer to maintain it at 3-4 layers
*
oh alright you're probably using generic lambda rules, not tied to a specific tech library. The feature size in microns would depend on how big lambda is.

My adder has broken t3h 1ghz barrier finally. Hellz yeah. Now i'll just go for 2ghz... nah... laugh.gif

This post has been edited by ikanayam: Mar 13 2006, 05:44 PM
Annie
post Mar 14 2006, 08:51 AM

Getting Started
**
Junior Member
234 posts

Joined: Jan 2003
From: Petaling Jaya


QUOTE(ikanayam @ Mar 13 2006, 05:37 PM)
oh alright you're probably using generic lambda rules, not tied to a specific tech library. The feature size in microns would depend on how big lambda is.

My adder has broken t3h 1ghz barrier finally. Hellz yeah. Now i'll just go for 2ghz... nah... laugh.gif
*
what is lambda? forget d...but i remember studied before in university. But in real ic layout design, ppl tend to use micron compare to lambda.

`TauFun
post Mar 14 2006, 11:15 PM

New Member
*
Junior Member
28 posts

Joined: Mar 2005



hi, i'm new ! electronic student from UTAR!
TScharge-n-go
post Mar 16 2006, 07:03 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(Annie @ Mar 14 2006, 08:51 AM)
what is lambda? forget d...but i remember studied before in university. But in real ic layout design, ppl tend to use micron compare to lambda.
*
i think lambda should be the wavelength of the UV light.
X10A Freedom
post Mar 16 2006, 08:43 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Mar 16 2006, 07:03 PM)
i think lambda should be the wavelength of the UV light.
*
not for the lambda in IC design rule
i just realise my ic design rule is from MOSIS
ikanayam
post Mar 26 2006, 09:19 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

lol who says i'm not artsy?

behold a 32bit adder, made with a silicon cloth and decorated with 4 layers of metal threads laugh.gif
Attached Image

This post has been edited by ikanayam: Mar 26 2006, 09:20 AM
X10A Freedom
post Mar 26 2006, 12:33 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


u put my memory in to shame sweat.gif
TScharge-n-go
post Mar 26 2006, 01:39 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Mine is worse, only got this kinda diagram tongue.gif


Attached thumbnail(s)
Attached Image
ikanayam
post Mar 26 2006, 02:15 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Mar 25 2006, 11:33 PM)
u put my memory in to shame sweat.gif
*
I also don't have a life laugh.gif


QUOTE(charge-n-go @ Mar 26 2006, 12:39 AM)
Mine is worse, only got this kinda diagram tongue.gif
*
...... don't ever want to do hand layout for that stuff..... sweat.gif
knight
post Mar 26 2006, 05:57 PM

The Truth is Out There..
******
Senior Member
1,842 posts

Joined: Jan 2003



Hi, i consider computer engineering course from TARC...currently mess up v the design tools...like truth table , state machine, K-map, transition map...other 4got dy...what else har??
ikanayam
post Mar 27 2006, 01:44 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Mar 26 2006, 12:39 AM)
Mine is worse, only got this kinda diagram tongue.gif
*
what program did you use to draw that stuff btw? need to draw a microprocessor datapath.... argh.
TScharge-n-go
post Mar 27 2006, 09:17 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(knight @ Mar 26 2006, 05:57 PM)
Hi, i consider computer engineering course from TARC...currently mess up v the design tools...like truth table , state machine, K-map, transition map...other 4got dy...what else har??
*
hi, welcome.

QUOTE(ikanayam @ Mar 27 2006, 01:44 PM)
what program did you use to draw that stuff btw? need to draw a microprocessor datapath.... argh.
*
CorelDraw lanun edition, hahaha.
U can try adobe illustrator oso biggrin.gif
yuyuyu
post Mar 28 2006, 02:26 AM

On my way
****
Senior Member
628 posts

Joined: Jan 2003
From: adventure island
UPM EE student here

hope can contribute something also *sweat*
harrychoo
post Mar 28 2006, 09:17 PM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE(knight @ Mar 26 2006, 05:57 PM)
Hi, i consider computer engineering course from TARC...currently mess up v the design tools...like truth table , state machine, K-map, transition map...other 4got dy...what else har??
*
hi there. u are microelectronics student there? i also graduate there.

what u want to know about?
knight
post Mar 29 2006, 12:33 AM

The Truth is Out There..
******
Senior Member
1,842 posts

Joined: Jan 2003



QUOTE(harrychoo @ Mar 28 2006, 09:17 PM)
hi there. u are microelectronics student there? i also graduate there.

what u want to know about?
*
oh, so u are my senior lo..hehe..no need ask liaw...ask Ms.Ng dy...^^
Tingwc84
post Mar 30 2006, 04:38 PM

Getting Started
**
Junior Member
263 posts

Joined: Jan 2003
From: Puchong


Hey, anyone there know any 5-bit DAC chip with parallel input?
iZuDeeN
post Mar 30 2006, 08:20 PM

Look at all my stars!!
*******
Senior Member
3,466 posts

Joined: Jan 2003
From: PJ, Malaysia
QUOTE(arcan8888 @ Mar 11 2006, 04:23 PM)
Hi,

Is there anyone out there who's working or involved in the semiconductor industry?
I desperately need a piece of silicon, in wafer form. I need it for my Final Year Project experiments. Because I only need 1 piece, it is very hard to buy from those semiconductor companies who sell them in bulk.

Details: 

1. pure/new silicon wafer (untouched; doesnt contain any die, or hasnt been etched)
    (1 0 0) orientation, p-type
2. around 4 to 6 inch in diameter (size doesnt really matter)
3. Can be slightly defective; slightly scratched at the side, or slight deformation, so long      as more than 70-80% of the wafer is untouched. Semiconductor companys usually get rid of these kindda wafers.
4. I'm willing to a pay substancial amount. (please PM me the details and I'll give you the appropriate price)
If you have any information pertaining to this please reply in this thread or send me a PM.

Thanx alot.
*
Even im working at semicon final manufacturing also cannot help you. The one we got usually has die on it, or for dummy we do have those without die, but this are not for sale and cant be resell...

What project r u working on anyway?
martianunlimited
post Mar 30 2006, 11:10 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



QUOTE(iZuDeeN @ Mar 30 2006, 08:20 PM)
Even im working at semicon final manufacturing also cannot help you. The one we got usually has die on it, or for dummy we do have those without die, but this are not for sale and cant be resell...

What project r u working on anyway?
*
you know the mask costs close to 100K, and each wafer several thousands... (need to check with the fabs...) anyway, there are only a few fabs in Malaysia, Jabil has one, (not sure which process though), and infineon (me thinks it's 130nm or 90nm).. hell will probably freeze over before intel builds a 45nm fab here....
silkworm
post Mar 31 2006, 08:34 AM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(Tingwc84 @ Mar 30 2006, 04:38 PM)
Hey, anyone there know any 5-bit DAC chip with parallel input?
*
If you don't need a whole lot of accuracy or linearity, then why not DIY? 5-bits is pretty do-able with an R-2R ladder. flex.gif

Alternatively, a good ol' 8-pin PIC with 6 IO pins, 5-pins for your parallel input and one pin for the output, just nice! It's extremely easy to knock together a bit-banged PWM output, then just low-pass filter the output pin and you have your analog output.

Finally in the "overkill" department, a FPGA could do the job. FPGAs outputs usually have about 3-bits of programmable drive. Chain together 4 pins (I think) to get your full range of outputs. This solution sucks a lot of power though. biggrin.gif

X10A Freedom
post Mar 31 2006, 07:23 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


some mask layout images from my final year project, i know it sux tongue.gif, so just bear with it


ever seen a butterfly mask layout?
user posted image

the whole viterbi decoder:
user posted image

This post has been edited by X10A Freedom: Mar 31 2006, 07:24 PM
ikanayam
post Mar 31 2006, 07:30 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

^ LOL whomever did that butterfly part must have been very artsy or very lazy XD
X10A Freedom
post Mar 31 2006, 07:53 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


i think he's just purely lazy(with a little artsy) dry.gif
it could have been more compact if the components on the right hand side were as compact as the left hand side doh.gif
shouta
post Mar 31 2006, 08:03 PM

Getting Started
**
Junior Member
78 posts

Joined: Feb 2006


INTI E&E diploma grad
current Nottingham 2nd year electronic engineering student
reporting in =)

i feel so noob la.. haiz
martianunlimited
post Apr 1 2006, 04:24 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



QUOTE(X10A Freedom @ Mar 31 2006, 07:53 PM)
i think he's just purely lazy(with a little artsy) dry.gif
it could have been more compact if the components on the right hand side were as compact as the left hand side doh.gif
*
Haha.. his routing is a little long though... and if he was working his manager would have been a little unhappy with all the blank spaces.. 1 sq milimeter = several millions
TScharge-n-go
post Apr 2 2006, 10:34 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Today js changed my 8-bit adder's VHDL from structural coding to behavioral coding. It is Sooooo much faster with behavioral and save a lot of troubles portmapping tongue.gif

Well, behavioral is just 2.4ns (including the buffer register at the adder output), but the structural circuit without the buffer register already have 8.1ns delay and a lot of glitches.
harrychoo
post Apr 3 2006, 09:14 AM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE(charge-n-go @ Apr 2 2006, 10:34 PM)
Today js changed my 8-bit adder's VHDL from structural coding to behavioral coding. It is Sooooo much faster with behavioral and save a lot of troubles portmapping tongue.gif

Well, behavioral is just 2.4ns (including the buffer register at the adder output), but the structural circuit without the buffer register already have 8.1ns delay and a lot of glitches.
*
yah, structural modelling is crap...

behavioral modelling is much mroe easier...u use Generic to do?
ikanayam
post Apr 3 2006, 09:55 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(harrychoo @ Apr 2 2006, 08:14 PM)
yah, structural modelling is crap...

behavioral modelling is much mroe easier...u use Generic to do?
*
For a critical circuit (like an adder, ALU, etc) it's always better to hand tune it at gate/transistor level. Synthesizers don't generate optimal speed and size. Problem is his tuning was something you would do for an ASIC, while he was using a compiler for a FPGA, so it's not optimal which is why i think you see the difference.

This post has been edited by ikanayam: Apr 3 2006, 09:56 AM
TScharge-n-go
post Apr 3 2006, 10:24 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(harrychoo @ Apr 3 2006, 09:14 AM)
yah, structural modelling is crap...
behavioral modelling is much mroe easier...u use Generic to do?
*
QUOTE(ikanayam @ Apr 3 2006, 09:55 AM)
For a critical circuit (like an adder, ALU, etc) it's always better to hand tune it at gate/transistor level. Synthesizers don't generate optimal speed and size. Problem is his tuning was something you would do for an ASIC, while he was using a compiler for a FPGA, so it's not optimal which is why i think you see the difference.
*
I use structural in the 1st place to generate my custom adder, well of course it screwed up bcoz the CPLD might not have some predefined LUT or Cell for tat purpose. Fishy i still like the ASIC leh, kinda cool to have everything start from scratch and slowly fine tune. Argghh... still angry y my uni doesnt have the Cadence tool vmad.gif

I'm using Altera MaxPlus 2 with Max7000B CPLD wink.gif

This post has been edited by charge-n-go: Apr 3 2006, 10:25 AM
ikanayam
post Apr 3 2006, 10:46 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ Apr 2 2006, 09:24 PM)
I use structural in the 1st place to generate my custom adder, well of course it screwed up bcoz the CPLD might not have some predefined LUT or Cell for tat purpose. Fishy i still like the ASIC leh, kinda cool to have everything start from scratch and slowly fine tune. Argghh... still angry y my uni doesnt have the Cadence tool  vmad.gif

I'm using Altera MaxPlus 2 with Max7000B CPLD wink.gif
*
Maybe you can look into optimization for that kind of logic, optimization is always fun and it's good experience.
TScharge-n-go
post Apr 3 2006, 10:55 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(ikanayam @ Apr 3 2006, 10:46 AM)
Maybe you can look into optimization for that kind of logic, optimization is always fun and it's good experience.
*
I've tried various methods, behavioral still the best tongue.gif

Human optimization lost to compiler optimization, damnit laugh.gif

Left 14 days before report submission for my CPU. I hate documentation !! cry.gif cry.gif
harrychoo
post Apr 3 2006, 12:27 PM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE(charge-n-go @ Apr 3 2006, 10:55 AM)
I've tried various methods, behavioral still the best tongue.gif

Human optimization lost to compiler optimization, damnit laugh.gif

Left 14 days before report submission for my CPU. I hate documentation !! cry.gif  cry.gif
*
Documentation is something that engineer hate but cannot avoid..lol tongue.gif

like now i suffer doin my report for my project...i also lazy to do but if not, my boss would kill me laugh.gif

btw, i use Xilinx Spartan XC3000 last time...old FPGA biggrin.gif
TScharge-n-go
post Apr 3 2006, 01:23 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(harrychoo @ Apr 3 2006, 12:27 PM)
Documentation is something that engineer hate but cannot avoid..lol  tongue.gif

like now i suffer doin my report for my project...i also lazy to do but if not, my boss would kill me  laugh.gif

btw, i use Xilinx Spartan XC3000 last time...old FPGA  biggrin.gif
*
I'm simulating with Maxplus 2, but final implementation will be in Spartan 3 Starter Kit CPLD. Still waiting for its arrival biggrin.gif

I'm not sure if the VHDL code can be transferred to Xilinx successfully. THeoretically its possible la, but still scared ler tongue.gif
X10A Freedom
post Apr 3 2006, 02:51 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Apr 3 2006, 01:23 PM)
I'm simulating with Maxplus 2, but final implementation will be in Spartan 3 Starter Kit CPLD. Still waiting for its arrival biggrin.gif

I'm not sure if the VHDL code can be transferred to Xilinx successfully. THeoretically its possible la, but still scared ler tongue.gif
*
defintely can since HDLs are meant to be portable to any platform that supports it
but expect the result to be different from wat u did on MaxPlus2
it maybe faster, or slower

ikanayam
post Apr 3 2006, 03:04 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Apr 3 2006, 01:51 AM)
defintely can since HDLs are meant to be portable to any platform that supports it
but expect the result to be different from wat u did on MaxPlus2
it maybe faster, or slower
*
How about what the compiler considers synthesizable? I'm sure there is a difference across different compilers. That's the only potential problem i see.

This post has been edited by ikanayam: Apr 3 2006, 03:05 PM
X10A Freedom
post Apr 3 2006, 03:32 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(ikanayam @ Apr 3 2006, 03:04 PM)
How about what the compiler considers synthesizable? I'm sure there is a difference across different compilers. That's the only potential problem i see.
*
normally the codes are synthesizable no matter what, it's just that the result of the synthesis may be different(gonna be bigger in size or so? depends)
therefore people use 3rd party software to do simulation and synthesis(mentor, synopsis etc) so that the end result doesn't varies for different cpld/fpga

p/s: aren't u supposed to be sleeping tongue.gif too much coffee or so? XD

This post has been edited by X10A Freedom: Apr 3 2006, 03:40 PM
ikanayam
post Apr 3 2006, 03:45 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Apr 3 2006, 02:32 AM)
normally the codes are synthesizable no matter what, it's just that the result of the synthesis may be different(gonna be bigger in size or so? depends)
therefore people use 3rd party software to do simulation and synthesis(mentor, synopsis etc) so that the end result doesn't varies for different cpld/fpga
*
Well speaking from personal experience, previously i've made the mistake of writing code which can be simulated but cannot be synthesized. And not only that, different synthesizers are picky about different things, one of them may let you use packed wires while another will not etc etc. Just one of those little annoying things which were never emphasized from the start, which i think is a huge mistake when teaching ppl verilog. I'm sure it's the same with VHDL.

Going to sleep soon, just finished another layout so i'll sleep now tongue.gif

This post has been edited by ikanayam: Apr 3 2006, 03:46 PM
TScharge-n-go
post Apr 3 2006, 04:33 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Tat's what I'm afraid of. It may work flawlessly and fast enough in Max7000B, but when it is synthesize in Spartan 3, maybe got minor glitches during the positive clock edge, then die liao tongue.gif

Well, js pray i can successfully transfer it, if not presentation time will kena from moderator tongue.gif

btw X10A Freedom, where r u studying? May i know where u got the tools to construct yr butterfly layout? tongue.gif
X10A Freedom
post Apr 3 2006, 06:18 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Apr 3 2006, 04:33 PM)
Tat's what I'm afraid of. It may work flawlessly and fast enough in Max7000B, but when it is synthesize in Spartan 3, maybe got minor glitches during the positive clock edge, then die liao tongue.gif

Well, js pray i can successfully transfer it, if not presentation time will kena from moderator tongue.gif

btw X10A Freedom, where r u studying? May i know where u got the tools to construct yr butterfly layout? tongue.gif
*
studying at kbu, the butterfly section is not done by me
it's using mentor graphics ic flow, i use my school's pc to capture the screen as such tools aren't available as freely as Altera products tongue.gif
TScharge-n-go
post Apr 3 2006, 07:00 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(X10A Freedom @ Apr 3 2006, 06:18 PM)
studying at kbu, the butterfly section is not done by me
it's using mentor graphics ic flow, i use my school's pc to capture the screen as such tools aren't available as freely as Altera products tongue.gif
*
oh.. KBU got mentor graphic, but MMU doesnt have ler sad.gif
I tried to find lanun in LYP, found nothing. hahaha.

btw, do u got any jobs after graduation?
X10A Freedom
post Apr 3 2006, 08:50 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


dun bother searching for lanun especially in LYP, it's pretty futile
u can try the great intr@n3t though tongue.gif
if u do find one, care to share with me too XD
jobs after graduation......hmm, that's something i'm hoping for but things aren't going to smoothly though
already missed one interview from altera sad.gif
but they might be coming back, so keeping my fingers cross
anyways, anyone here have any knowledge on mentor graphics boardstation(aka EN2004 which includes Accusim, Design Architect etc) tools? i need to verify something about that especially things regarding LVS

This post has been edited by X10A Freedom: Apr 3 2006, 08:51 PM
martianunlimited
post Apr 3 2006, 10:46 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



QUOTE(X10A Freedom @ Apr 3 2006, 08:50 PM)
dun bother searching for lanun especially in LYP, it's pretty futile
u can try the great intr@n3t though tongue.gif
if u do find one, care to share with me too XD
jobs after graduation......hmm, that's something i'm hoping for but things aren't going to smoothly though
already missed one interview from altera sad.gif
but they might be coming back, so keeping my fingers cross
anyways, anyone here have any knowledge on mentor graphics boardstation(aka EN2004 which includes Accusim, Design Architect etc) tools? i need to verify something about that especially things regarding LVS
*
EDA softwares uses license servers (just google FlexLM),so i doubt you can get a lanun version to work properly. (if you can tell me... 1 annual license for a simulator = 6 engineer + 1 supervisor's pay)

Sorry I don't do LVS, can't help you here, but basically what they do is match the layout to the schematic right? Should be pretty much straight forward.

Altera is hiring quite aggressively right now, my guess is they are expanding their design centre in penang. If you do get hired by altera, congrats.. they are one of the highest paying company in Malaysia for fresh grads at the current moment. The other one that comes close is Shell
X10A Freedom
post Apr 3 2006, 10:52 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


well, i need to know how to setup the Design Architect so that i can do LVS with the mask layout
i need to confirm that my college is lacking of something that stops me from doing this
harrychoo
post Apr 3 2006, 11:29 PM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


seems like u guyz only interest in digital and vlsi design.

other specialist here, any?
TScharge-n-go
post Apr 3 2006, 11:42 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

ahah, it's called the computer engineering thread, sure more on digital stuff la tongue.gif

wanna talk about digital signal processing? DFT and FFT anyone?

X10A Freedom: Altera questions arent that hard. If u hv done layouting b4, it should b easy for u. I've tried and confident but end up not called for interview, js bcoz I'm not 1st class student sad.gif
harrychoo
post Apr 3 2006, 11:46 PM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE(charge-n-go @ Apr 3 2006, 11:42 PM)
ahah, it's called the computer engineering thread, sure more on digital stuff la tongue.gif

wanna talk about digital signal processing? DFT and FFT anyone?

X10A Freedom: Altera questions arent that hard. If u hv done layouting b4, it should b easy for u. I've tried and confident but end up not called for interview, js bcoz I'm not 1st class student sad.gif
*
i used to like DFT and FFT when in college but since now hardly can use these kind of skill..so forget oledi..lolz

now my skill is more towards embedded system and power related design..lolz

yah, Altera interview questions are quite easy for fresh grad but not me, coz forget many things oledi sweat.gif ... but beware they will trick u..lolz
X10A Freedom
post Apr 3 2006, 11:50 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Apr 3 2006, 11:42 PM)
ahah, it's called the computer engineering thread, sure more on digital stuff la tongue.gif

wanna talk about digital signal processing? DFT and FFT anyone?

X10A Freedom: Altera questions arent that hard. If u hv done layouting b4, it should b easy for u. I've tried and confident but end up not called for interview, js bcoz I'm not 1st class student sad.gif
*
well, when i was attempting the questions, i haven't really learn layouts in detail yet(they only gave me like few days just to prepare doh.gif)
after they processed the answers for the questions(which took quite long), i've mostly done on my layout, but too bad, i wasn't call up for the interview(though some of my classmates did but only 2-3 person in total was really confirmed after the interview)
but my lect was telling me that the ic design department might want to go for a 2nd round recruitment.......so i'm hoping that this time, i'm well prepare for it
harrychoo
post Apr 3 2006, 11:53 PM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE(X10A Freedom @ Apr 3 2006, 11:50 PM)
well, when i was attempting the questions, i haven't really learn layouts in detail yet(they only gave me like few days just to prepare doh.gif)
after they processed the answers for the questions(which took quite long), i've mostly done on my layout, but too bad, i wasn't call up for the interview(though some of my classmates did but only 2-3 person in total was really confirmed after the interview)
but my lect was telling me that the ic design department might want to go for a 2nd round recruitment.......so i'm hoping that this time, i'm well prepare for it
*
get to hear from my interviewver about ic design group. lol

i'm applying application engineer
TScharge-n-go
post Apr 4 2006, 12:05 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(harrychoo @ Apr 3 2006, 11:46 PM)
i used to like DFT and FFT when in college but since now hardly can use these kind of skill..so forget oledi..lolz

now my skill is more towards embedded system and power related design..lolz

yah, Altera interview questions are quite easy for fresh grad but not me, coz forget many things oledi  sweat.gif ... but beware they will trick u..lolz
*
hmm... where r u working now ar?
well, my answer is same as my fren (we sit side by side n compare la), but he was called not me, moreover we apply for the same job position sad.gif
so i suspect is my CGPA ler, he is way higher than me tongue.gif


QUOTE(X10A Freedom @ Apr 3 2006, 11:50 PM)
well, when i was attempting the questions, i haven't really learn layouts in detail yet(they only gave me like few days just to prepare doh.gif)
after they processed the answers for the questions(which took quite long), i've mostly done on my layout, but too bad, i wasn't call up for the interview(though some of my classmates did but only 2-3 person in total was really confirmed after the interview)
but my lect was telling me that the ic design department might want to go for a 2nd round recruitment.......so i'm hoping that this time, i'm well prepare for it
*
U mean ASIC? AFAIK, they only want experience engineer or fresh grad in this kinda field, maybe u can la since u did layouting biggrin.gif

harrychoo
post Apr 4 2006, 12:12 AM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE(charge-n-go @ Apr 4 2006, 12:05 AM)
hmm... where r u working now ar?
well, my answer is same as my fren (we sit side by side n compare la), but he was called not me, moreover we apply for the same job position sad.gif
so i suspect is my CGPA ler, he is way higher than me tongue.gif
U mean ASIC? AFAIK, they only want experience engineer or fresh grad in this kinda field, maybe u can la since u did layouting biggrin.gif
*
Japanese MNC, last time very famous the name but after merge with some other Jap MNC, then i guess u will never heard it before..lol

Altera do filter out the applicant based on CGPA...sad..
[ r u g a ]
post Apr 4 2006, 12:18 AM

On my way
****
Senior Member
662 posts

Joined: Aug 2005


hey guys can i join here?
i'm taking CE at UTAR..i'm just wondering does UTAR have the capability to conduct their IT courses well..

i heard that KBU has the best IT courses offered..isit?
harrychoo
post Apr 4 2006, 12:20 AM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE([ r u g a ] @ Apr 4 2006, 12:18 AM)
hey guys can i join here?
i'm taking CE at UTAR..i'm just wondering does UTAR have the capability to conduct their IT courses well..

i heard that KBU has the best IT courses offered..isit?
*
wat year? my 2 previous lecturers from TARC had transfer to UTAR..
[ r u g a ]
post Apr 4 2006, 12:21 AM

On my way
****
Senior Member
662 posts

Joined: Aug 2005


QUOTE(harrychoo @ Apr 4 2006, 01:20 AM)
wat year? my 2 previous lecturers from TARC had transfer to UTAR..
*
em i think shud be next year..coz this year i have to take a Foundation course on science stream..
[ r u g a ]
post Apr 4 2006, 12:35 AM

On my way
****
Senior Member
662 posts

Joined: Aug 2005


can anyone tell me more about computer engineering..isit a good choice? when i tell others i'm taking IT..they will give comment like canot find job la..very hard to work la or what so ever..

what does this course cover us? if from my reading on the info given by utar,is about hardware design as major..and minor software programing/design..
means we can make next gen. of mobo/graphic card/proc or whatsoever regarding pc right? anyone can correct me?
harrychoo
post Apr 4 2006, 12:43 AM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE([ r u g a ] @ Apr 4 2006, 12:35 AM)
can anyone tell me more about computer engineering..isit a good choice? when i tell others i'm taking IT..they will give comment like canot find job la..very hard to work la or what so ever..

what does this course cover us? if from my reading on the info given by utar,is about hardware design as major..and minor software programing/design..
means we can make next gen. of mobo/graphic card/proc or whatsoever regarding pc right? anyone can correct me?
*
huh, u choose oledi but regret? shocking.gif

both course have their own advantages, for IT, if u are not good in programming, u will die..even u are good in study is not enuf, u must know various of programming language and if possible learn AI. For the FYP, do somethings grand like AI. For eg my fren, his CGPA is not good but his FYP is good, so his lecturer recommend him to intel and his salary now even higher than me sweat.gif

but some of my IT frens that "study to score" only, will not get good job and pay..they will starting off low pay.

CE is more on analogue and digital circuit design. U will study microprocessor, microcontroller and embedded system as well...for progamming, it will be focus more on low level programming such as assembly language or HDL.

U still will learn C but not those GUI and scripting thingy.
[ r u g a ]
post Apr 4 2006, 01:35 AM

On my way
****
Senior Member
662 posts

Joined: Aug 2005


QUOTE(harrychoo @ Apr 4 2006, 01:43 AM)
huh, u choose oledi but regret?  shocking.gif

both course have their own advantages, for IT, if u are not good in programming, u will die..even u are good in study is not enuf, u must know various of programming language and if possible learn AI. For the FYP, do somethings grand like AI. For eg my fren, his CGPA is not good but his FYP is good, so his lecturer recommend him to intel and his salary now even higher than me  sweat.gif

but some of my IT frens that "study to score" only, will not get good job and pay..they will starting off low pay.

CE is more on analogue and digital circuit design. U will study microprocessor, microcontroller and embedded system as well...for progamming, it will be focus more on low level programming such as assembly language or HDL.

U still will learn C but not those GUI and scripting thingy.
*
haha..not to say regret..just not enuf info for the course..pros cons..career..not very sure yet..
but one thing i sure is..i'm interested on this course . CGPA is what , FYP ?
ic ic..thanks for the info worh..but i'm still kinda blur on those kind of stuff..not really know anything about it at all..or to say..i'm a total noob on this..
no basic yet

This post has been edited by [ r u g a ]: Apr 4 2006, 01:36 AM
TScharge-n-go
post Apr 4 2006, 04:57 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

welcome [ r u g a ]. biggrin.gif


ERmm.. anyone knows how to extract certain bit from 'add' function?

Let's say,

SIGNAL a,b : STD_LOGIC_VECTOR (15 DOWNTO 0);
SIGNAL reg : STD_LOGIC_VECTOR (7 DOWNTO 0);

reg <= (a + b) from 15 downto 8.

What i mean is i need info from the added result A+B (bit 8 to bit 15) into reg. How can I actually do it in 1 clock only?

Thanx

This post has been edited by charge-n-go: Apr 4 2006, 05:01 PM
X10A Freedom
post Apr 4 2006, 06:56 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


add another signal
RESULT : STD_LOGIC_VECTOR (15 DOWNTO 0);

reg <= result[15..8];


i think should be written this way
or else it will be
reg <= result[15 downto 8];
harrychoo
post Apr 4 2006, 07:02 PM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE(charge-n-go @ Apr 4 2006, 04:57 PM)
welcome [ r u g a ]. biggrin.gif
ERmm.. anyone knows how to extract certain bit from 'add' function?

Let's say,

SIGNAL a,b : STD_LOGIC_VECTOR (15 DOWNTO 0);
SIGNAL reg : STD_LOGIC_VECTOR (7 DOWNTO 0);

reg <= (a + b) from 15 downto 8.

What i mean is i need info from the added result A+B (bit 8 to bit 15) into reg. How can I actually do it in 1 clock only?

Thanx
*
reg must in 8 bit by definition? highlighted is not the actual syntax right? no "from 15 downto 8" right?

can i know in 1 clock means that must in 1 instruction line only?

sorry, too long din do vhdl oledi..

is it possible to shift a and b bits 1st before adding? sweat.gif
X10A Freedom
post Apr 4 2006, 07:49 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(harrychoo @ Apr 4 2006, 07:02 PM)
reg must in 8 bit by definition? highlighted is not the actual syntax right? no "from 15 downto 8" right?

can i know in 1 clock means that must in 1 instruction line only?

sorry, too long din do vhdl oledi..

is it possible to shift a and b bits 1st before adding? sweat.gif
*
by shifting it, u won't get the data that u want as the addition will not be the same anymore
1 clk cycle means the cycle in relative to the clk, it's not instruction dependant, unlike microcontrollers which uses 1 clk for each instructions
TScharge-n-go
post Apr 4 2006, 08:03 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(X10A Freedom @ Apr 4 2006, 06:56 PM)
add another signal
RESULT : STD_LOGIC_VECTOR (15 DOWNTO 0);

reg <= result[15..8];
i think should be written this way
or else it will be
reg <= result[15 downto 8];
*
I've tried this actually:

add_result <= A+B;
reg <= add_result( 15 downto 8);

But using this method, A+B will be stored in add_result upon clock, and the previous clock add_result will be input into reg.

Actually i need the result immediately during current clock, tat's wat i meant by 1 cycle tongue.gif


QUOTE(harrychoo @ Apr 4 2006, 07:02 PM)
reg must in 8 bit by definition? highlighted is not the actual syntax right? no "from 15 downto 8" right?
can i know in 1 clock means that must in 1 instruction line only?
sorry, too long din do vhdl oledi..
is it possible to shift a and b bits 1st before adding? sweat.gif
*
Ya, there's no such thing as from 15 downto 8, js my description tongue.gif

If u wanna shift b4 add, can make it this way:
reg <= ('0' & a(7 downto 1)) + ('0' & b(7 downto 1))

Well, imho, sometimes 1 clock means that must be in 1 instruction line, and sometime it is not necessary.

Let's say :
IF (clk'EVENT AND clk='1')
a<=b;
c<=d;

^ this one can finish in 1 clock.

however,
IF (clk'EVENT AND clk='1')
a<=b;
c<=a;

^ this one will finish in 1 clock too, but c<=a is taking the A value on previous clock instead of value from latest B value.
X10A Freedom
post Apr 4 2006, 08:09 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(charge-n-go @ Apr 4 2006, 08:03 PM)
I've tried this actually:

add_result <= A+B;
reg <= add_result( 15 downto 8);

But using this method, A+B will be stored in add_result upon clock, and the previous clock add_result will be input into reg.

*
ok, then update 'reg' outside of the clk event(after the end process statement)
it should save u 1 clk cycle
e-jump
post Apr 4 2006, 08:25 PM

┐( ¯3¯)┌
*******
Senior Member
4,784 posts

Joined: Sep 2004
From: MY



Load A , Load B
A+B <- clock cycle
add_result <= A+B
reg <= add_result( 15 downto 8) <- clock cycle

1 cycle for A+B
1 cycle for store add_result to register

im rusty in this =___=
but how do we update the reg outside clock?
add_result will be sent directly to bus, thus tap bit 15-8 to reg?


oh well, reporting in smile.gif

This post has been edited by e-jump: Apr 4 2006, 08:43 PM
TScharge-n-go
post Apr 4 2006, 09:39 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(X10A Freedom @ Apr 4 2006, 08:09 PM)
ok, then update 'reg' outside of the clk event(after the end process statement)
it should save u 1 clk cycle
*
i tried b4, but the result isnt correct.

THis is actually my code description:


» Click to show Spoiler - click again to hide... «


Anyway, welcome e-jump biggrin.gif
QUOTE
but how do we update the reg outside clock?
add_result will be sent directly to bus, thus tap bit 15-8 to reg?

I dont think can update if there is no clock tongue.gif

This post has been edited by charge-n-go: Apr 4 2006, 09:40 PM
X10A Freedom
post Apr 4 2006, 10:48 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(e-jump @ Apr 4 2006, 08:25 PM)
Load A , Load B
A+B <- clock cycle
add_result <= A+B
reg <= add_result( 15 downto 8) <- clock cycle

1 cycle for A+B
1 cycle for store add_result to register

im rusty in this =___=
but how do we update the reg outside clock?
add_result will be sent directly to bus, thus tap bit 15-8 to reg?
oh well, reporting in smile.gif
*
depending on synthesis tools, most A+B function doesn't need any clock at all(that boils down to what u intend this to do, a counter? or an adder?)
so the remainding clk cycle is waste on add_result <= A+B
the reason i say remove the

reg <= add_result( 15 downto 8)

and put it at outside is so that u won't incur another clk cycle just to tap a signal bus and pass it to an output
but putting outside of the process statement, it means tapping of the internal signal to the specified output
QUOTE(charge-n-go @ Apr 4 2006, 09:39 PM)
i tried b4, but the result isnt correct.

THis is actually my code description:
» Click to show Spoiler - click again to hide... «


Anyway, welcome e-jump biggrin.gif

I dont think can update if there is no clock tongue.gif
*
wierd.....it should work since

add_result <= A+B;

so by just putting this code

reg <= add_result( 15 downto 8);

at outside of the process statement will meant that you are tapping the 15 downto 8 bus to 'reg'
e-jump
post Apr 4 2006, 11:14 PM

┐( ¯3¯)┌
*******
Senior Member
4,784 posts

Joined: Sep 2004
From: MY



afaik, registers dont need clock to update
we can assign bit load and bit clear

bit load enable = load reg content to bus
bit clear enable = clear content

amirite?
X10A Freedom
post Apr 4 2006, 11:22 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(e-jump @ Apr 4 2006, 11:14 PM)
afaik, registers dont need clock to update
we can assign bit load and bit clear

bit load enable = load reg content to bus
bit clear enable = clear content

amirite?
*
its the same as clk
clk is just train of pulses and the register updates at every pulse
normally when we refer to update according to clk, we meant that the registers are edge triggerred circuits

ikanayam
post Apr 4 2006, 11:26 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(e-jump @ Apr 4 2006, 10:14 AM)
afaik, registers dont need clock to update
we can assign bit load and bit clear
*
Yes you can do that.

QUOTE(X10A Freedom @ Apr 4 2006, 10:22 AM)
its the same as clk
clk is just train of pulses and the register updates at every pulse
normally when we refer to update according to clk, we meant that the registers are edge triggerred circuits
*
You mean a synchronous circuit? they can be still edge triggered asynchronously like above

This post has been edited by ikanayam: Apr 4 2006, 11:27 PM
TScharge-n-go
post Apr 4 2006, 11:30 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(X10A Freedom @ Apr 4 2006, 10:48 PM)
wierd.....it should work since

add_result <= A+B;

so by just putting this code

reg <= add_result( 15 downto 8);

at outside of the process statement will meant that you are tapping the 15 downto 8 bus to 'reg'
*
Outside process statement ar. I nv tried, that tongue.gif
I just put it in process, but included in the ELSE branch (else when no clock signal then reg <= add_result (15 downto 8);

I think maybe I should construct another CASE statement out of the process and try again. Thanx for all yr help rclxms.gif


Hmm... i think i'll try agian, maybe some other parts are wrong tongue.gif
X10A Freedom
post Apr 4 2006, 11:33 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(ikanayam @ Apr 4 2006, 11:26 PM)
Yes you can do that.
You mean a synchronous circuit? they can be still edge triggered asynchronously like above
*
no, i meant registers in general
there aren't any registers that consist of latch though(dun count in pulsed latch) which are level sensitive circuits

TScharge-n-go
post Apr 4 2006, 11:34 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(X10A Freedom @ Apr 4 2006, 11:33 PM)
no, i meant registers in general
there aren't any registers that consist of latch though(dun count in pulsed latch) which are level sensitive circuits
*
haha, i got 1 level sensitive transistor, but never use it bcoz it's pretty useless tongue.gif
harrychoo
post Apr 5 2006, 12:29 AM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


how about do like this? having two process?

» Click to show Spoiler - click again to hide... «

iZuDeeN
post Apr 6 2006, 09:39 PM

Look at all my stars!!
*******
Senior Member
3,466 posts

Joined: Jan 2003
From: PJ, Malaysia
Hey since I dont get any response in Education forum... can someone enlighten me on this

QUOTE(iZuDeeN @ Apr 6 2006, 07:10 PM)
Okay...

I need you guys opinion here.
" IS THERE SUCH THING AS NEGATIVE RESISTENSE?"

Since high school and 4 years in University, the basic thing I learned about resistence is that there is NO MATERIAL in this world that can give -ve resistence i.e you get -ve ohm in multimeter.

If you get such reading in first place, it is either that you have not calibrated your multimeter or the multimeter is faulty...
But in technical explaination, if you get -ve reading it means that the material you are testing is GIVING OUT energy, i.e generating its own energy, and Im not referring to dry cells or any other type of batteries.

A friend of mine was taking a reading of a wire (basically a grounding wire), and he got -ve reading. When I told him that his reading was wrong, he said that the reading is correct and it read -ve because the material is very good. The reading although only read -0.4ohm, it still makes a lot of difference in my line of job.

So I need you guys professional opinion whether the reading taken by my friend is correct and Im wrong or vice versa?
Note that this is not to prove who is right or wrong, but it is important that an accurate reading is taken due to the nature of job...
*
SUSDavid83
post Apr 6 2006, 09:43 PM

20k VIP Club
*********
All Stars
52,874 posts

Joined: Jan 2003
Never come across that. Just heard about negative temperature coefficient in Ohm's Law.

Perhaps superconductor might behave like that.

Interested to know about this too ...

This post has been edited by David83: Apr 6 2006, 09:45 PM
SUSDavid83
post Apr 6 2006, 09:43 PM

20k VIP Club
*********
All Stars
52,874 posts

Joined: Jan 2003
-deleted-

Sorry double post due to line problem. notworthy.gif

This post has been edited by David83: Apr 6 2006, 09:44 PM
TScharge-n-go
post Apr 6 2006, 09:47 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(harrychoo @ Apr 5 2006, 12:29 AM)
how about do like this? having two process?
My fren tried, but not really working tongue.gif
nvm, i'll try another method.

thx everybody for helping.


QUOTE(iZuDeeN @ Apr 6 2006, 09:39 PM)
Hey since I dont get any response in Education forum... can someone enlighten me on this
*
i only learnt about 0 resistance, which happens to superconductor at very very low temperature. -ve resistance? i need somebody to enlighten me too biggrin.gif
SUSDavid83
post Apr 6 2006, 09:52 PM

20k VIP Club
*********
All Stars
52,874 posts

Joined: Jan 2003
QUOTE(charge-n-go @ Apr 6 2006, 09:47 PM)
My fren tried, but not really working tongue.gif
nvm, i'll try another method.

thx everybody for helping.
i only learnt about 0 resistance, which happens to superconductor at very very low temperature. -ve resistance? i need somebody to enlighten me too  biggrin.gif
*
Share with us regarding the 0 resistance too. notworthy.gif
iZuDeeN
post Apr 6 2006, 09:58 PM

Look at all my stars!!
*******
Senior Member
3,466 posts

Joined: Jan 2003
From: PJ, Malaysia
Yes, that is the reason I need someone to tell me.

The is Negative Differential Resistance but there is no such thing as Negative Resistance...

Negative Differential Resistance still has a +ve static resistance.


And yes, 0 resistance is the ideal condition but it is almost impossible to achieve with current material that we have...

But a grounding wire has -ve resistance? That simply amaze me...



harrychoo
post Apr 6 2006, 10:10 PM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


i think there is no such things as negative resistance.

if it really exist, i think Ohm's Law or other related laws won't hold anymore..lol

This post has been edited by harrychoo: Apr 6 2006, 10:10 PM
TScharge-n-go
post Apr 6 2006, 11:22 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(David83 @ Apr 6 2006, 09:52 PM)
Share with us regarding the 0 resistance too.  notworthy.gif
*
hahaha, i think we learnt a bit too in physical electronics. I oso forgotten liao tongue.gif


QUOTE(iZuDeeN @ Apr 6 2006, 09:58 PM)
Negative Differential Resistance still has a +ve static resistance.
And yes, 0 resistance is the ideal condition but it is almost impossible to achieve with current material that we have...

But a grounding wire has -ve resistance? That simply amaze me...
*
Negative differential resistance means, the resistance value is decreasing over time mah, rite?
0 resistance is ideal, and super conductors only has very very near to zero resistance.

-ve resistance is like..... the object exist to have some energy supply (instead of resisting, it is giving energy). tongue.gif

This post has been edited by charge-n-go: Apr 6 2006, 11:23 PM
iZuDeeN
post Apr 6 2006, 11:29 PM

Look at all my stars!!
*******
Senior Member
3,466 posts

Joined: Jan 2003
From: PJ, Malaysia
that is what i said, which means it impossible that a normal wire giving out energy...

I dunno how to explain to my frend that his reading is wrong....

actually im new to the job and he's senior than me, so if i go to my immediate supervisor and tell this thing, my frend my think i want to 'show power'...


TScharge-n-go
post Apr 6 2006, 11:37 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(iZuDeeN @ Apr 6 2006, 11:29 PM)
that is what i said, which  means it impossible that a normal wire giving out energy...

I dunno how to explain to my frend that his reading is wrong....

actually im new to the job and he's senior than me, so if i go to my immediate supervisor and tell this thing, my frend my think i want to 'show power'...
*
LoL, i were u, i oso dunno wanna laugh or cry towards this senior tongue.gif

Well, if he said -ve terminal then logical la, -ve resistance is stooopig.
SUSDavid83
post Apr 7 2006, 02:39 AM

20k VIP Club
*********
All Stars
52,874 posts

Joined: Jan 2003
QUOTE(harrychoo @ Apr 6 2006, 10:10 PM)
i think there is no such things as negative resistance.

if it really exist, i think Ohm's Law or other related laws won't hold anymore..lol
*
Ohm's Law is a very primitive law and the introduction of superconductor somehow violates it.

QUOTE(charge-n-go @ Apr 6 2006, 11:22 PM)
hahaha, i think we learnt  a bit too in physical electronics. I oso forgotten liao tongue.gif
Negative differential resistance means, the resistance value is decreasing over time mah, rite?
0 resistance is ideal, and super conductors only has very very near to zero resistance.

-ve resistance is like..... the object exist to have some energy supply (instead of resisting, it is giving energy). tongue.gif
*
Negative differential resistance is something like negative temperature coefficient. The resistance of a material decreases when a controlled variable is being altered.
iZuDeeN
post Apr 7 2006, 09:25 PM

Look at all my stars!!
*******
Senior Member
3,466 posts

Joined: Jan 2003
From: PJ, Malaysia
QUOTE(David83 @ Apr 7 2006, 02:39 AM)

Negative differential resistance is something like negative temperature coefficient. The resistance of a material decreases when a controlled variable is being altered.
*
That is correct, but you still cant get resistance -ve...

you only decrease the resistence, but it will never reach 0, let alove -ve

silkworm
post Apr 7 2006, 09:29 PM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


In situations like these, look at the tools being used in measurement. An Ohmeter is just measuring the voltage across the resistor after pumping in a known current. If the DAC resolution is not high enough, or the voltage scaling not large enough, then the result of the measurement is bound to be erroneous. Furthermore, if the resistance of the ground wire is less than the resistance of the probe leads, then the readout is also definitely wrong.
iZuDeeN
post Apr 7 2006, 09:35 PM

Look at all my stars!!
*******
Senior Member
3,466 posts

Joined: Jan 2003
From: PJ, Malaysia
the multimeter used is of industry standard...

while the probe is calibrated every 3 months as per requirement


silkworm
post Apr 7 2006, 10:10 PM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(iZuDeeN @ Apr 7 2006, 09:35 PM)
the multimeter used is of industry standard...

while the probe is calibrated every 3 months as per requirement
*

Fair enough. Was it a handheld unit or a bench-top? What does the specification for the multimeter say about the resolution and accuracy? For measuring something in the milli-ohm range you should probably be using a RCL meter with Kelvin clips instead of a two-lead, contact probe multimeter.

Measuring Resistance (epanorama.net)
martianunlimited
post Apr 8 2006, 02:49 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



There is a phenomenon called negative resistance, where the current will actually increase when the voltage is reduced (and vice versa). And when that happens the circuit will actually oscillate. Quite cool stuff btw. I first learnt about it when checking out on cold fusion on J.L Naudin's website. (Note: this is not pseudoscience... )

http://jlnlabs.imars.com/cnr/index.htm
http://en.wikipedia.org/wiki/Negative_Resistance

Actually it's possible to get a -ve reading.

1)ground bounce (the ground voltage jumped to a positive voltage when you place the probe, should be very transient though). Since we always "assume" that ground = 0v, that can explain some things...
2)there is a voltage source connected. (will probably screw up your multimeter permanently though)

This post has been edited by martianunlimited: Apr 8 2006, 03:05 PM
int19h
post Apr 17 2006, 03:32 PM

Getting Started
**
Junior Member
78 posts

Joined: Jan 2003
From: KL


I don't know how I managed to miss this thread all this months, heheh... anyways, int19h checking in. Atm my interests are mainly with top-level architectural design, eg mapping algorithms to array structures, augmenting existing processor core designs with custom instructions... simple noob stuff like that. I'd rather stay away from the low-level stuff, will leave that to you guys smile.gif

[edit: clarified something]

This post has been edited by int19h: Apr 17 2006, 03:40 PM
NEO.rage
post Apr 18 2006, 11:37 AM

I got Unifi. Do you?
*****
Senior Member
798 posts

Joined: Jan 2003
From: Cheras <-> Bangsar


hola, im new hear.
taking computer engineering in mmu. rclxms.gif

just would like to join this thread even though im just in second year. tongue.gif
seems like u guy's topic are too advance for me rclxub.gif

neway, lets bring this up, up n away. icon_rolleyes.gif
ikanayam
post Apr 20 2006, 12:50 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

W00t i have LVSed already! A bit more tweaking and i'm done!

MAD MAC 525 layout:
http://www.contrib.andrew.cmu.edu/~fma/cmu...t%20labeled.PNG

Win, gold and binary!
TScharge-n-go
post Apr 20 2006, 01:42 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Yes, finally done with my 8-bit CPU FYP. It is working !!
X10A Freedom
post Apr 20 2006, 06:17 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(ikanayam @ Apr 20 2006, 12:50 PM)
W00t i have LVSed already! A bit more tweaking and i'm done!

MAD MAC 525 layout:
http://www.contrib.andrew.cmu.edu/~fma/cmu...t%20labeled.PNG

Win, gold and binary!
*
neat mask layout
i really need to brush up my skill to your level
= /
ikanayam
post Apr 21 2006, 01:31 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(X10A Freedom @ Apr 20 2006, 05:17 AM)
neat mask layout
i really need to brush up my skill to your level
= /
*
I didn't layout the whole chip, i did the adder and part of the multiplier only. 13000 transistors is a bit much for one person (with other work) to layout in a month and a half tongue.gif

The key is good floorplanning and size estimation. My team's initial predictions on size and transistor counts were very detailed and almost spot on, which helped a lot. We also floorplanned the chip early on and enforced area and shape restrictions for the modules once the initial layout started and everyone stuck to it so we got the shape nice with few gaps and a good transistor density.

Of course above all you need a good team to work with and my team did a good job, i didn't have to fix that much stuff laugh.gif

This post has been edited by ikanayam: Apr 21 2006, 01:33 AM
shadow_dweller
post Apr 21 2006, 06:43 PM

Enthusiast
*****
Senior Member
754 posts

Joined: Jan 2003


reporting in !

Boss charge-n-go ...

hahaha :Þ
hao
post Apr 22 2006, 02:01 PM

Quills™
*******
Senior Member
5,469 posts

Joined: Jan 2003
From: PJ


Do you guys have any recommended website about applications of signal flow graph?
TScharge-n-go
post Apr 23 2006, 04:17 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(shadow_dweller @ Apr 21 2006, 06:43 PM)
reporting in !

Boss charge-n-go ...

hahaha :Þ
going to grad liao only u report ar... tongue.gif


QUOTE(hao @ Apr 22 2006, 02:01 PM)
Do you guys have any recommended website about applications of signal flow graph?
*
What about google? biggrin.gif
i think SFG is useful for defining the input/output of a system.
Cloudx
post Apr 27 2006, 12:39 PM

(╯°□°)╯︵ ┻━┻
******
Senior Member
1,521 posts

Joined: Oct 2004
From: Island where you get pearl


Anyone knows where in penang can i get PCB developing service?? UV,Develope and Etching need it to do a circuit for my FYP

This post has been edited by Cloudx: Apr 27 2006, 12:59 PM
jojoko1982
post May 2 2006, 12:32 PM

Casual
***
Junior Member
399 posts

Joined: Jul 2005


im another computer engineering grad oso.... laugh.gif
rclxms.gif rclxms.gif rclxms.gif
harrychoo
post May 3 2006, 09:02 AM

Look at all my stars!!
*******
Senior Member
3,589 posts

Joined: Mar 2005
From: Bolehland


QUOTE(Cloudx @ Apr 27 2006, 12:39 PM)
Anyone knows where in penang can i get PCB developing service?? UV,Develope and Etching need it to do a circuit for my FYP
*
i know a company that doing PCB, my company always outsource to them but i think the price should be high for a student.

how many layers are ur pcb? how about develop and etch it urself.
TScharge-n-go
post May 4 2006, 01:46 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(jojoko1982 @ May 2 2006, 12:32 PM)
im another computer engineering grad oso.... laugh.gif
rclxms.gif  rclxms.gif  rclxms.gif
*
welcome, name added biggrin.gif
ikanayam
post May 4 2006, 07:35 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Hahaha best day of my boring life....


Attached thumbnail(s)
Attached Image
silkworm
post May 4 2006, 08:38 AM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(ikanayam @ May 4 2006, 07:35 AM)
Hahaha best day of my boring life....
*
Congratulations! You've sure earned it. rclxms.gif
silkworm
post May 4 2006, 08:49 AM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(Cloudx @ Apr 27 2006, 12:39 PM)
Anyone knows where in penang can i get PCB developing service?? UV,Develope and Etching need it to do a circuit for my FYP
*
They're not in Penang, but try Silvtronics, based in Old Klang Road, KL. Their prices on the website are in USD, but if you e-mail them they'll quote you in RM. It might seem pretty expensive at first, but they're probably the cheapest for making 1-4 piece runs.
X10A Freedom
post May 4 2006, 08:39 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(ikanayam @ May 4 2006, 07:35 AM)
Hahaha best day of my boring life....
*
w00t, congrats notworthy.gif
ikanayam
post May 4 2006, 08:54 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(silkworm @ May 3 2006, 07:38 PM)
Congratulations! You've sure earned it. rclxms.gif
*
Thank you. Your taiko drums arrive today btw

QUOTE(X10A Freedom @ May 4 2006, 07:39 AM)
w00t, congrats notworthy.gif
*
thank you sir.
martianunlimited
post May 4 2006, 10:41 PM

The original Martian
******
Senior Member
1,521 posts

Joined: Jan 2005
From: New Zealand



QUOTE(ikanayam @ May 4 2006, 08:54 PM)
Thank you. Your taiko drums arrive today btw
thank you sir.
*
Grats wink.gif your work is impressive btw smile.gif
almostthere
post May 5 2006, 02:51 AM

Kepala abah ko
Group Icon
VIP
3,773 posts

Joined: Jan 2003
From: Anywhere lah...as long got Kopi-O



Nice un mate, congrats to you and here's to many more
ikanayam
post May 9 2006, 02:33 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(martianunlimited @ May 4 2006, 09:41 AM)
Grats wink.gif your work is impressive btw smile.gif
*
thank you

QUOTE(almostthere @ May 4 2006, 01:51 PM)
Nice un mate, congrats to you and here's to many more
*
yes that is the plan. but who knows laugh.gif

http://www.ece.cmu.edu/~ee525/projects/pro...ntations/13.ppt
here's the project presentation given to AMD. Can't wait to see the video recording laugh.gif Earlier presentations can be accessed by replacing the 13.ppt with 12.ppt and so on all the way down to 1.ppt.

and....i am done with finals bwahwahwahwahwahwahwa!!


TScharge-n-go
post May 11 2006, 03:16 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Hey dude, js read your slide. It is really awesome !!
Well, find 1 day i shall learn all these from u tongue.gif
empire23
post May 11 2006, 03:19 PM

Team Island Hopper
Group Icon
Staff
9,417 posts

Joined: Jan 2003
From: Bladin Point, Northern Territory
Congrads to pak ikan, may you days of ASIC design be filled with fun, and same goes to your constant trolling XD
ikanayam
post May 11 2006, 03:56 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(charge-n-go @ May 11 2006, 02:16 AM)
Hey dude, js read your slide. It is really awesome !!
Well, find 1 day i shall learn all these from u tongue.gif
*
hehe i have no plans to be a professor tongue.gif


QUOTE(empire23 @ May 11 2006, 02:19 AM)
Congrads to pak ikan, may you days of ASIC design be filled with fun, and same goes to your constant trolling XD
*
Hehehe at least i know i will always have the latter to fall back on if i need it laugh.gif

99% complete final project report. I have yet to add in some simulation results. Dry boring stuff for those who like.
Attached File  project_report.pdf ( 466.04k ) Number of downloads: 38


This post has been edited by ikanayam: May 11 2006, 03:59 PM
prasys
post May 11 2006, 07:25 PM

Heros Never Die
Group Icon
VIP
12,925 posts

Joined: Mar 2005
From: Kuala Lumpur
Congrats ikanayam

Pretty impressive work....Gotta respect you !
c9
post May 11 2006, 07:31 PM

Look at all my stars!!
Group Icon
Elite
5,824 posts

Joined: Jan 2003
From: Damansara Perdana


Congrats ikan! rclxms.gif

Great presentation!! notworthy.gif
nerd nation
post May 11 2006, 09:55 PM

Trance addict
******
Senior Member
1,440 posts

Joined: Jan 2003
From: Ipoh


QUOTE(iZuDeeN @ Apr 6 2006, 11:09 PM)
Hey since I dont get any response in Education forum... can someone enlighten me on this
*
The so called negative resistance does exist. It is used in oscillator circuits to cancel out the damping resistance of a tuned circuit to create oscillations. When a tuned circuit is excited by a pulse, it will ring at the resonant frequency. the circuit resistance will, however, cause a rapid damping of these oscillations. This is where negative resistance comes into play. To overcome the problem we need a device that has negative resistance to cancel out the circuit resistance. One such device is the Gunn diode. This diode exhibits higher energy states for which the current carriers have lower mobility and this will cause negative resistance under suitable bias conditions.

Another way of generating negative resistance is by using a carefully biased FET. I'm not quite familiar with this one though.

This post has been edited by nerd nation: May 11 2006, 09:56 PM
diehachi
post May 31 2006, 11:41 PM

Casual
***
Junior Member
373 posts

Joined: Oct 2005
From: LCCT



Yet another computer engineering undergraduate here!!!

Obviously this is great place to stop by eventhough im not at the high level yet...shisssh thumbup.gif
hianchung
post Jul 10 2006, 01:03 AM

New Member
*
Junior Member
12 posts

Joined: Jan 2003
From: KL, Malaysia


This thread is doing well. smile.gif Another computer engineering ug here.

Need some suggestions from all the sifoos here for a final year project title... Thanks in advance
ikanayam
post Jul 10 2006, 03:35 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(hianchung @ Jul 9 2006, 12:03 PM)
This thread is doing well. smile.gif Another computer engineering ug here.

Need some suggestions from all the sifoos here for a final year project title... Thanks in advance
*
How about you make some suggestions first. There's no free lunch here, and besides, we don't even know what your interest or field of focus is.
hianchung
post Jul 10 2006, 06:00 PM

New Member
*
Junior Member
12 posts

Joined: Jan 2003
From: KL, Malaysia


I'm more into smart homes and web-related stuff. Preferably a project with a balance mixture of hardware and software.

Atm, these are the few projects in mind:
1. Text compression - compressing text files up to 1/8 of its original size by combining several compression techniques. Eg: Limpel-Ziv, Codebook, Huffman, etc

2. Blind equalization algorithm - Makes blind equalizes to correct channel distortions when tx & rx data even if the sequence is unknown

3. Something to do with biometrics, audio and visual authentication

4. Face detection system - alert whenever cctv detects a face

5. SMS Related

I am actually thinking of a project with a commercial value as well
int19h
post Jul 10 2006, 07:03 PM

Getting Started
**
Junior Member
78 posts

Joined: Jan 2003
From: KL


QUOTE(hianchung @ Jul 10 2006, 08:00 PM)
I'm more into smart homes...
*
...then you may get a kick out of this!

QUOTE(hianchung @ Jul 10 2006, 08:00 PM)
4. Face detection system - alert whenever cctv detects a face
*
Detection right, not recognition? Detection is pretty simple... well I guess you can always make it as complicated as you like, but I've seen a pretty simple one in the past that worked well considering the level of effort. But algorithmic simplicity is good, you can have fun putting together an application specific system on an FPGA, or download the Cell BE simulation suite and implement the algorithm on that platform.

I did rudimentary face detection on an FPGA once, but it wasn't for a graded project. But face detection on a commodity RISC... I dunno... the compilers do a lot of the work for you, I doubt an implementation there would warrant high marks, there would have to be some novel algorithmic work if you ask me.

I would suggest you do this project only if you have a supervisor who can walk you through the pattern recognition algorithms. If you have to muck around in Matlab implementing and testing various algorithms you may not have enough time to really enjoy the real-time implementation side of things. The same is true for any project really, if you have your heart set on real-time implementation work.

Unless of course you're keen on digging into the algorithms themselves, in which case more power to you.

Good luck!
X10A Freedom
post Jul 10 2006, 09:12 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(hianchung @ Jul 10 2006, 06:00 PM)
I'm more into smart homes and web-related stuff. Preferably a project with a balance mixture of hardware and software.

Atm, these are the few projects in mind:
1. Text compression - compressing text files up to 1/8 of its original size by combining several compression techniques. Eg: Limpel-Ziv, Codebook, Huffman, etc

2. Blind equalization algorithm - Makes blind equalizes to correct channel distortions when tx & rx data even if the sequence is unknown

3. Something to do with biometrics, audio and visual authentication

4. Face detection system - alert whenever cctv detects a face

5. SMS Related

I am actually thinking of a project with a commercial value as well
*
balance between hardware and software? but most topic that u suggest are more towards software side or can be done purely by software

i'm not sure about topics 1 & 2, but i think topic 2 is more to dsp since equalizations are related to filters in the first place
topic 3....i'm quite sure is purely software, just can't recall wat software they are using, will get back to u on this
topic 4 can be done using labview or matlab
topic 5 is can be done using java, my college last time did some that are related to security or parking ticket
ikanayam
post Jul 11 2006, 02:28 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(hianchung @ Jul 10 2006, 05:00 AM)
I'm more into smart homes and web-related stuff. Preferably a project with a balance mixture of hardware and software.

Atm, these are the few projects in mind:
1. Text compression - compressing text files up to 1/8 of its original size by combining several compression techniques. Eg: Limpel-Ziv, Codebook, Huffman, etc

2. Blind equalization algorithm - Makes blind equalizes to correct channel distortions when tx & rx data even if the sequence is unknown

3. Something to do with biometrics, audio and visual authentication

4. Face detection system - alert whenever cctv detects a face

5. SMS Related

I am actually thinking of a project with a commercial value as well
*
QUOTE(X10A Freedom @ Jul 10 2006, 08:12 AM)
balance between hardware and software? but most topic that u suggest are more towards software side or can be done purely by software

i'm not sure about topics 1 & 2, but i think topic 2 is more to dsp since equalizations are related to filters in the first place
topic 3....i'm quite sure is purely software, just can't recall wat software they are using, will get back to u on this
topic 4 can be done using labview or matlab
topic 5 is can be done using java, my college last time did some that are related to security or parking ticket
*
Yup that's what i thought as well, these are mostly (software) algorithms. What you can do is implement them in ASIC/FPGA.

Implementing fast compression/decompression in hardware looks most interesting to me, but i don't know how much hardware background you have.
hianchung
post Jul 11 2006, 09:17 AM

New Member
*
Junior Member
12 posts

Joined: Jan 2003
From: KL, Malaysia


I am actually not very good in programming. Neither in hardware. Thats the reason that I'm looking for something in between. References for the projects I proposed earlier could be obtained. Some of them are continuation of my seniors projects.
ikanayam
post Jul 12 2006, 02:24 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

What kind of hardware/software do you have access to?
TScharge-n-go
post Jul 12 2006, 08:43 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

FPGA is good enough. You can implement compression/decompression or digital signal processing ability with VHDL or Verilog. Last time I've bought Xilinx Spartan 3 for about RM650 including shipping from US. I think it has more than enough gates for final year project. Well, u can try Altera Max / Flex10k series too, as I've heard Spartan 3 has some hardware bug.
xjian1985
post Jul 13 2006, 09:37 AM

Getting Started
**
Junior Member
240 posts

Joined: Jan 2003
From: Kuala Lumpur


hi,

i want to ask does anyone try something on microstrip circuit before??
my FYP is to design a microstrip hairpin bandpass filter, still no idea....

lgolgo
post Jul 13 2006, 11:20 AM

New Member
*
Junior Member
41 posts

Joined: Nov 2004
ONE big question, like most of u guys point out, most of these knowledge r forgotten cause maybe = no demand for such skills in Malaysia, I hav no idea.

Anyway to make it big with this sort of know how is that HOPEFULLY some of u r REALLY capable of designing "MalaysiaBolehPentium tm" computer chip so that we have cheaper & FASTER+RELIABLE option compared with AMD and Intel.

Remember, that degree, Master, PHd r just a piece of papers, Malaysia hav plenty of them. It's only that u invent, design something spectacular will then make urself spectacular in the eyes of the others...


This post has been edited by lgolgo: Jul 13 2006, 11:24 AM
ikanayam
post Jul 13 2006, 11:37 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(lgolgo @ Jul 12 2006, 10:20 PM)
ONE big question, like most of u guys point out, most of these knowledge r forgotten cause maybe = no demand for such skills in Malaysia, I hav no idea.

Anyway to make it big with this sort of know how is that HOPEFULLY some of u r REALLY capable of designing "MalaysiaBolehPentium tm" computer chip so that we have cheaper & FASTER+RELIABLE option compared with AMD and Intel.

Remember, that degree, Master, PHd r just a piece of papers, Malaysia hav plenty of them. It's only that u invent, design something spectacular will then make urself spectacular in the eyes of the others...
*
Designing a full fledged x86 CPU these days is not easy. To start from scratch, you would need billions of dollars. I don't think it's feasible to successfully penetrate this market given the situation today. As you can see, the market is consolidating right now because development costs (especially for manufacturing) are prohibitively expensive.

There are plenty of other growing markets, which i think a few people with some knowledge can successfully penetrate. And this is what we should be looking at before it's too late.
lgolgo
post Jul 13 2006, 11:53 AM

New Member
*
Junior Member
41 posts

Joined: Nov 2004
QUOTE(ikanayam @ Jul 13 2006, 11:37 AM)
Designing a full fledged x86 CPU these days is not easy. To start from scratch, you would need billions of dollars. I don't think it's feasible to successfully penetrate this market given the situation today. As you can see, the market is consolidating right now because development costs (especially for manufacturing) are prohibitively expensive.
*
True, but they r alternatives. Like a person designing a chip and the outsource the manufacturing part to some other company like TSMC. I suppose that will cut down the cost tremendously. I don't know about chip design, but I suppose if one is really smart he/she can design it and just outsource the manufacturing stuff.

Forgot to add if u're bumiputra which I presume, u got gomen to feed u somemore which u design a super chip.
QUOTE(ikanayam @ Jul 13 2006, 11:37 AM)
There are plenty of other growing markets, which i think a few people with some knowledge can successfully penetrate. And this is what we should be looking at before it's too late.
*
Its probably already too late for us Malaysia to penetrate those growing market which u speak of, The Chinese, Korean, Japanese r so way ahead of us in these market, Cost u say, we can't beat the Chinese, Inovation u say, doubt we can beat the Korean or Japanese. They is only one way IMHO, BEING NUMBER 1

I know it's hard, but nobody said it was easy...

This post has been edited by lgolgo: Jul 13 2006, 11:57 AM
ikanayam
post Jul 13 2006, 12:01 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(lgolgo @ Jul 12 2006, 10:53 PM)
True, but they r alternatives. Like a person designing a chip and the outsource the manufacturing part to some other company like TSMC. I suppose that will cut down the cost tremendously. I don't know about chip design, but I suppose if one is really smart he/she can design it and just outsource the manufacturing stuff.
*
TSMC manufacturing process technology is way behind the leaders. A CPU depends a lot on the manufacturing process for performance improvements because it is clocked very high compared to say, a GPU. There are plenty of other alternatives besides manufacturing CPUs, which would be a better choice for such arrangements.


QUOTE(lgolgo @ Jul 12 2006, 10:53 PM)
Its probably already too late for us Malaysia to penetrate those growing market which u speak of, The Chinese, Korean, Japanese r so way ahead of us in these market, Cost u say, we can't beat the Chinese, Inovation u say, doubt we can beat the Korean or Japanese. They is only one way IMHO, BEING NUMBER 1

I know its hard, but nobody said it was easy...
*
Nothing good ever comes easy.
I don't understand, you say the only way is being number 1, then you say we can't beat anyone. So what is your point?
lgolgo
post Jul 13 2006, 12:33 PM

New Member
*
Junior Member
41 posts

Joined: Nov 2004
QUOTE(ikanayam @ Jul 13 2006, 12:01 PM)
TSMC manufacturing process technology is way behind the leaders. A CPU depends a lot on the manufacturing process for performance improvements because it is clocked very high compared to say, a GPU. There are plenty of other alternatives besides manufacturing CPUs, which would be a better choice for such arrangements.
Nothing good ever comes easy.

I don't understand, you say the only way is being number 1, then you say we can't beat anyone. So what is your point?
*
That's the whole point, it is so hard to break into these market when the Chinese, Koread and Japanese r so way ahead of us.

So I hope I'm wrong that they r some malaysian capable of making it to No 1, ppl r still buying from American company like Intel and AMD because they r number 1 when it comes to the CPU, imagine china's ABC company produce a x-86 cpu that is no 1 in term's of performance, reliability and cost. who do you think ppl r going to be buying from next.

So my point is only by becoming NO 1 if any Malaysian is capable of will we FOR SURE have a successful Malaysian CPU company, if we were no 2, 3,4,5 and so on, then we'll probally never win China or Korean or the Japanese.

I guess the real question is not money but skill, the money will pay for developing and implementation of the manufacturing process required. Look @ proton, the govmen give them so much money but no results.

This post has been edited by lgolgo: Jul 13 2006, 12:35 PM
ikanayam
post Jul 13 2006, 12:46 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(lgolgo @ Jul 12 2006, 11:33 PM)
That's the whole point, it is so hard to break into these market when the Chinese, Koread and Japanese r so way ahead of us.

So I hope I'm wrong that they r some malaysian capable of making it to No 1, ppl r still buying from American company like Intel and AMD because they r number 1 when it comes to the CPU, imagine china's ABC company produce a x-86 cpu that is no 1 in term's of performance, reliability and cost. who do you think ppl r going to be buying from next.

So my point is only by becoming NO 1 if any Malaysian is capable of will we FOR SURE have a successful Malaysian CPU company, if we were no 2, 3,4,5 and so on, then we'll probally never win China or Korean or the Japanese.

I guess the real question is not money but skill, the money will pay for developing and implementation of the manufacturing process required. Look @ proton, the govmen give them so much money but no results.
*
Well, i hope you're number 1 in whatever you're doing too, else your preaching might come across as being weird wink.gif

I will leave out proton and what seems to be your personal sentiment (such as your bumi comment) out of this discussion. The money is as important as the skill if you want to fight with the big guys. Because the money not only builds the plants; it also buys the skill. If you want to be the best, you need the best people. And you can't expect the best people to work for anything but the best pay right wink.gif

This post has been edited by ikanayam: Jul 13 2006, 12:47 PM
lgolgo
post Jul 13 2006, 12:56 PM

New Member
*
Junior Member
41 posts

Joined: Nov 2004
QUOTE(ikanayam @ Jul 13 2006, 12:46 PM)
Well, i hope you're number 1 in whatever you're doing too, else your preaching might come across as being weird wink.gif

I will leave out proton and what seems to be your personal sentiment (such as your bumi comment) out of this discussion. The money is as important as the skill if you want to fight with the big guys. Because the money not only builds the plants; it also buys the skill. You can't expect the best people to work for anything but the best pay right wink.gif
*
I'm definitely not number 1 in what I do because I don't need to be number 1 to survive, but that's not the point, we're talking CPU here and how to be successful in that business, like u said a lot of money is involve, not no1 == not successful == no money! no money== how to maintain all those expensive worker and super expensive machine??? canoot maintain == CLOSE SHOP == WASTING money right, you get the whole picture now right???

I thought u r a bumi, I read somewhere, no pun intended...I guess you're a little mad about the bumi comment, its not personal sentiment mind u, I thought u really r one which make it easier for bumi to get loan which will definitely get since this is an ambitious project and also easier to get the license required to produce chip, that what I mean by bumi, nothing else. If u're not a bumi, then this don't apply to u, simply as that smile.gif

This post has been edited by lgolgo: Jul 13 2006, 12:57 PM
ikanayam
post Jul 13 2006, 01:16 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(lgolgo @ Jul 12 2006, 11:56 PM)
I'm definitely not number 1 in what I do because I don't need to be number 1 to survive, but that's not the point, we're talking CPU here and how to be successful in that business, like u said a lot of money is involve, not no1 == not successful == no money! no money== how to maintain all those expensive worker and super expensive machine??? canoot maintain == CLOSE SHOP == WASTING money right, you get the whole picture now right???

I thought u r a bumi, I read somewhere, no pun intended...I guess you're a little mad about the bumi comment, its not  personal sentiment mind u, I thought u really r one which make it easier for bumi to get loan which will definitely get since this is an ambitious project and also easier to get the license required to produce chip, that what I mean by bumi, nothing else. If u're not a bumi, then this don't apply to u, simply as that smile.gif
*
You don't have to be no 1 in the CPU industry to survive (ask AMD hahaha). But you cannot afford to be left behind, as is true for any industry/business. And is true for life in general. Being the best is of course the extra mile that some people choose to go.

My race does not matter. I believe in pure capitalism and people should earn everything they have, and competition is good because it pushes people to improve (or die/go bankrupt if they don't). And i'm not hoping for our government, especially the one of recent years, to be smart or thoughtful enough to invest in such a project. I do not see this changing in the near future laugh.gif

Also i think it's not wise to go up against the big boys in what they are best at. Finding a niche and gaining a strong foothold there would be a much better idea. Of course that's just what i think, perhaps some ppl are convinced otherwise.

edit: Sorry for the earlier cold shoulder (or headbutt, whichever way you like it laugh.gif), you kind of hit a nerve there tongue.gif

This post has been edited by ikanayam: Jul 13 2006, 01:20 PM
lgolgo
post Jul 13 2006, 01:27 PM

New Member
*
Junior Member
41 posts

Joined: Nov 2004
QUOTE(ikanayam @ Jul 13 2006, 01:16 PM)
You don't have to be no 1 in the CPU industry to survive (ask AMD hahaha). But you cannot afford to be left behind, as is true for any industry/business. And is true for life in general. Being the best is of course the extra mile that some people choose to go.

My race does not matter. I believe in pure capitalism and people should earn everything they have, and competition is good because it pushes people to improve (or die/go bankrupt if they don't). And i'm not hoping for our government, especially the one of recent years, to be smart or thoughtful enough to invest in such a project. I do not see this changing in the near future laugh.gif

Also i think it's not wise to go up against the big boys in what they are best at. Finding a niche and gaining a strong foothold there would be a much better idea. Of course that's just what i think, perhaps some ppl are convinced otherwise.

edit: Sorry for the earlier cold shoulder (or headbutt, whichever way you like it laugh.gif), you kind of hit a nerve there tongue.gif
*
AMD were no 1 quite a while ago and they make quite a lot of money right. Anyway its no big deal. I wish u the best of luck then, who knows, u might set up the next Intel or AMD who will free all Malaysian and the world from Intel and AMDand nvidia money sucking vampires..
TScharge-n-go
post Jul 13 2006, 01:58 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Business is meant to earn money. The more u earn, the easier u expand. Intel knows how to market and therefore become the giant in CPU industry. I'm glad to let them suck my money bcoz in return i got something great from them. If they dont suck money, where to get the fund to do R&D for humankind?
ikanayam
post Jul 13 2006, 02:01 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(lgolgo @ Jul 13 2006, 12:27 AM)
AMD were no 1 quite a while ago and they make quite a lot of money right. Anyway its no big deal. I wish u the best of luck then, who knows, u might set up the next Intel or AMD who will free all Malaysian and the world from Intel and AMDand nvidia money sucking vampires..
*
I'm also a "money sucking vampire", like any good capitalist laugh.gif


QUOTE(charge-n-go @ Jul 13 2006, 12:58 AM)
Business is meant to earn money. The more u earn, the easier u expand. Intel knows how to market and therefore become the giant in CPU industry. I'm glad to let them suck my money bcoz in return i got something great from them. If they dont suck money, where to get the fund to do R&D for humankind?
*
Yes it is exactly as you say. Good businesses work for maximum profit - they are not charities.
TScharge-n-go
post Jul 13 2006, 02:21 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Building a CPU is very difficult. It involves VERY BROAD knowledge, in terms of architecture, micro architecture, synthesis, floorplanning, VLSI, chemistry, physics, and a lot more. Do u think Malaysia has experts in every field? It takes Intel 30 years to become a giant starting from the best of the best engineers at that time. What Malaysia has now? Sadly most of us dont even have enough knowledge to understand the in depth design of an outdated Pentium Pro. How can we compete with Intel/AMD/nvidia which have many years of experience and great knowledge in their field? It is not impossible, but near impossible.
int19h
post Jul 13 2006, 10:15 PM

Getting Started
**
Junior Member
78 posts

Joined: Jan 2003
From: KL


QUOTE(charge-n-go @ Jul 13 2006, 04:21 PM)
Building a CPU is very difficult. It involves VERY BROAD knowledge, in terms of architecture, micro architecture, synthesis, floorplanning, VLSI, chemistry, physics, and a lot more. Do u think Malaysia has experts in every field? It takes Intel 30 years to become a giant starting from the best of the best engineers at that time. What Malaysia has now? Sadly most of us dont even have enough knowledge to understand the in depth design of an outdated Pentium Pro. How can we compete with Intel/AMD/nvidia which have many years of experience and great knowledge in their field? It is not impossible, but near impossible.
*
I find it interesting that you left out IBM, especially considering that Sony came close to cutting Nvidia out of the PS3 gig completely because there was the initial estimate that the Cell would have enough juice to do the graphics on it's own [sorry, I can't seem to find the citation now]... anyway it turned out not to be the case (for now), but heck even the thought must've been enough to make nVidia and ATi worry. And they do worry. So much so that they recognise (to their credit) that they can't just sit pretty; they're not just worried about each other anymore (in the same way that Intel and AMD got into that MHz war); they realise they both have bigger problems to deal with. The nature of computer systems is evolving, as it always will, with workload characteristics. At one time the CPU simply did processing, ALL the processing... then the GPU "revolution" happened. Now nVidia wants to do audio processing on GPUs (and there's this whole community doing all sorts of things with them), meanwhile if IBM has their way they'll put GPU functionality back into their CPU. Who knows what's next.

I think your presumption that we can't "catch up" to the Intels of the world is misplaced, because while we probably can't build a better Pentium 4 than Intel, would we really want to? As workload characteristics change architectures must evolve.

SoC is big. Media processing is big. Sensor networks is going to be big (good luck putting a Pentium into one of those, lol). When the whole triple-play bla bla takes off and people can have another 10k channels with nothing good to watch there will be another round of router upgrades to be done, things like pipelined memory etc will come into play then, as will specially designed line cards etc etc etc... and fantastic progress in FPGAs massively reduces the barrier to entry for startups with special skills and ideas.

It's a mighty big pie. We don't have to have all of it, but we need to have the foresight and balls to claim some of it when the time is right.
TScharge-n-go
post Jul 13 2006, 10:51 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

Actually I was just quoting the previous post bcoz he mentioned only those 3 companies. wink.gif

anyway, thanx for sharing your valuable thoughts. imho, we cant catcup up bcoz only a small amount of of Malaysian can actually understand and has the knowledge to build different parts of the CPU. However, it might take a very very long time (maybe up to 10-20 years for a P4, from architectural design until the manufacturing). We have experts here, but the amount is too little compare to developed countries. We can design IPs, but not the whole process from designing --> validating -> manufacturing.
QD_buyer
post Jul 14 2006, 07:24 AM

John and Paul™
*******
Senior Member
2,047 posts

Joined: Dec 2004
From: Kampong Pisang
i am computer repairer and service for my friend and family!
QD_buyer
post Jul 14 2006, 07:24 AM

John and Paul™
*******
Senior Member
2,047 posts

Joined: Dec 2004
From: Kampong Pisang
The most hardest hardware to build apa?

i think CPU lah!
TScharge-n-go
post Jul 14 2006, 08:39 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(QD_buyer @ Jul 14 2006, 07:24 AM)
i am computer repairer and service for my friend and family!
*
QUOTE(QD_buyer @ Jul 14 2006, 07:24 AM)
The most hardest hardware to build apa?

i think CPU lah!
*
Hey dude, please dont spam here if you are not talking about electronics engineering related stuff.
int19h
post Jul 14 2006, 09:16 AM

Getting Started
**
Junior Member
78 posts

Joined: Jan 2003
From: KL


QUOTE(charge-n-go @ Jul 14 2006, 12:51 AM)
Actually I was just quoting the previous post bcoz he mentioned only those 3 companies. wink.gif
*
Sorry, my bad, I should have noticed the context tongue.gif

QUOTE(charge-n-go @ Jul 14 2006, 12:51 AM)
We can design IPs, but not the whole process from designing --> validating -> manufacturing.
*
I see your point, but correct me if I'm wrong, besides IP design the rest of the job becomes much simpler if PLDs (especially FPGAs) are used right? I think that's good enough for Malaysian companies to contribute to strategic niche industries, and anyway FPGA growth atm is outpacing ASIC growth, according to Gartner:

QUOTE
He said the ASIC market would grow by 3.9 percent in 2005 and then outpace the overall industry's growth in 2006 by posting 8.3 percent growth.
QUOTE
He forecast FPGA growth of 5.8 percent for 2005 and 13.4 percent for 2006.
(A bit outdated, I know, but Gartner charges USD10k for the latest report, so... erm... no thanks tongue.gif)
ikanayam
post Jul 14 2006, 09:25 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(int19h @ Jul 13 2006, 08:16 PM)
Sorry, my bad, I should have noticed the context tongue.gif
I see your point, but correct me if I'm wrong, besides IP design the rest of the job becomes much simpler if PLDs (especially FPGAs) are used right? I think that's good enough for Malaysian companies to contribute to strategic niche industries, and anyway FPGA growth atm is outpacing ASIC growth, according to Gartner:
(A bit outdated, I know, but Gartner charges USD10k for the latest report, so... erm... no thanks tongue.gif)
*
Well... assuming we're still talking about CPUs... you can't really implement a competitive CPU on a FPGA... tongue.gif

I agree with charge-n-go. I think it's better to specialize, at least initially. Start small and then expand.

This post has been edited by ikanayam: Jul 14 2006, 09:26 AM
silkworm
post Jul 14 2006, 09:38 AM

Enthusiast
Group Icon
Elite
965 posts

Joined: Jan 2003
From: Kajang


QUOTE(ikanayam @ Jul 14 2006, 09:25 AM)
Well... assuming we're still talking about CPUs... you can't really implement a competitive CPU on a FPGA... tongue.gif

While obviously not competitive to the coal-makers from Intel or AMD's stables, FPGA-based "soft core" CPUs are capable enough to go up against lower spec ARMs and MIPses. And that's a huge piece of the pie right there.

QUOTE
I agree with charge-n-go. I think it's better to specialize, at least initially. Start small and then expand.
*

And that's how everything is supposed to start. I guess the dotcom bubble mentality of "think big, start big, get bigger" is still lingering around.
int19h
post Jul 14 2006, 09:39 AM

Getting Started
**
Junior Member
78 posts

Joined: Jan 2003
From: KL


QUOTE(ikanayam @ Jul 14 2006, 11:25 AM)
Well... assuming we're still talking about CPUs... you can't really implement a competitive CPU on a FPGA... tongue.gif
*
That's assuming you limit your definition of "CPU" to von Neumann architecture cache based processors that will sit in a PC to do general-purpose computing tasks... which certainly isn't the only kind of "computing" there is. FPGAs have beaten Pentiums for pattern analysis. Keith Underwood of the SDSC had shown 2 years ago that FPGAs can beat Pentiums for floating-point arithmetic (and that was before the Virtex 5, with it's beefed up multipliers). For general purpose and/or control-intensive irregular code FPGAs will lose out, but that's just one part of the whole picture.

My intention with my last few posts to this thread was to point out that there's a lot more opportunity out there, and limiting oneself to "competing with Intel" is likely to be both unproductive and unrewarding. But that's just my opinion smile.gif

At the end of the day there's no accounting for personal desire, and there's no discounting personal will power, so if someone really wants to put "MalaysiaBoleh Inside"... I probably won't buy stock right away, but they will have my moral support, FWIW biggrin.gif

[edit: added the word "irregular"]

This post has been edited by int19h: Jul 14 2006, 09:42 AM
TScharge-n-go
post Jul 14 2006, 09:57 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(int19h @ Jul 14 2006, 09:16 AM)
Sorry, my bad, I should have noticed the context tongue.gif

no probs, i tend to ignore old posts too tongue.gif

QUOTE
I see your point, but correct me if I'm wrong, besides IP design the rest of the job becomes much simpler if PLDs (especially FPGAs) are used right? I think that's good enough for Malaysian companies to contribute to strategic niche industries, and anyway FPGA growth atm is outpacing ASIC growth, according to

imho, programming the FPGA = designing an IP, since we are dealing with verilog / vhdl only. We are still using ppl's product and implement our ideas, just like the earlier proton using mitsubishi engines.

anyway, u r good in FPGA related stuff. r u working in Altera/Xilinx? tongue.gif
ikanayam
post Jul 14 2006, 09:59 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

QUOTE(silkworm @ Jul 13 2006, 08:38 PM)
While obviously not competitive to the coal-makers from Intel or AMD's stables, FPGA-based "soft core" CPUs are capable enough to go up against lower spec ARMs and MIPses. And that's a huge piece of the pie right there.

And that's how everything is supposed to start. I guess the dotcom bubble mentality of "think big, start big, get bigger" is still lingering around.
*
QUOTE(int19h @ Jul 13 2006, 08:39 PM)
That's assuming you limit your definition of "CPU" to von Neumann architecture cache based processors that will sit in a PC to do general-purpose computing tasks... which certainly isn't the only kind of "computing" there is. FPGAs have beaten Pentiums for pattern analysis. Keith Underwood of the SDSC had shown 2 years ago that FPGAs can beat Pentiums for floating-point arithmetic (and that was before the Virtex 5, with it's beefed up multipliers). For general purpose and/or control-intensive code FPGAs will lose out, but that's just one part of the whole picture.

My intention with my last few posts to this thread was to point out that there's a lot more opportunity out there, and limiting oneself to "competing with Intel" is likely to be both unproductive and unrewarding. But that's just my opinion smile.gif

At the end of the day there's no accounting for personal desire, and there's no discounting personal will power, so if someone really wants to put "MalaysiaBoleh Inside"... I probably won't buy stock right away, but they will have my moral support, FWIW  biggrin.gif
*
Yes, i was taking a narrow definition of CPU, referring to the whole intel/AMD thing.

I agree that the embedded/co-processor market is worth looking into. However the co-processor market is getting much more competitive now, especially with the coming of dx10 capable GPUs later this year.

Embedded systems market is of course still nice and open. Probably the best bet. But i don't think FPGAs are competitive for any sort of mass production. But i'm an ASIC fanboi, so sue me tongue.gif

I have some much better thought out ideas on this but i'm not so sure i want to put (potentially) valuable IP on a public forum... laugh.gif

edit: added (potentially) for great justice

This post has been edited by ikanayam: Jul 14 2006, 10:04 AM
TScharge-n-go
post Jul 14 2006, 10:04 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(ikanayam @ Jul 14 2006, 09:59 AM)
I have some much better thought out ideas on this but i'm not so sure i want to put valuable IP on a public forum... laugh.gif
*
Hey, let's kickstart a closed tech forum for geeks like us laugh.gif

anyway, ASIC definitely has the speed advantage when it is optimized correctly. FPGA is good for designing prototypes, but not for mass production.
int19h
post Jul 14 2006, 10:12 AM

Getting Started
**
Junior Member
78 posts

Joined: Jan 2003
From: KL


QUOTE(charge-n-go @ Jul 14 2006, 12:04 PM)
FPGA is good for designing prototypes, but not for mass production.
*
... there's one more area where FPGA are used out of necessity: low volume systems. I've used production quality 4-socket ISDN line cards (meant for ISDN routers) that were powered by Xilinx FPGAs.

And no, I don't work for an FPGA vendor tongue.gif
int19h
post Jul 14 2006, 10:14 AM

Getting Started
**
Junior Member
78 posts

Joined: Jan 2003
From: KL


QUOTE(ikanayam @ Jul 14 2006, 11:59 AM)
I have some much better thought out ideas on this but i'm not so sure i want to put (potentially) valuable IP on a public forum... laugh.gif
*
laugh.gif good call...
TScharge-n-go
post Jul 14 2006, 10:26 AM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(int19h @ Jul 14 2006, 10:12 AM)
... there's one more area where FPGA are used out of necessity: low volume systems. I've used production quality 4-socket ISDN line cards (meant for ISDN routers) that were powered by Xilinx FPGAs.

And no, I don't work for an FPGA vendor tongue.gif
*
icic. my working area oso got some low volume cards with altera and xilinx fpga on it. usually they are used to generate special algorithm to carry out testings on new products. Well, I'm not involved in that area, js see those chips on the card, tat's all tongue.gif
X10A Freedom
post Jul 14 2006, 11:03 AM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


QUOTE(int19h @ Jul 14 2006, 09:16 AM)
Sorry, my bad, I should have noticed the context tongue.gif
I see your point, but correct me if I'm wrong, besides IP design the rest of the job becomes much simpler if PLDs (especially FPGAs) are used right? I think that's good enough for Malaysian companies to contribute to strategic niche industries, and anyway FPGA growth atm is outpacing ASIC growth, according to Gartner:
(A bit outdated, I know, but Gartner charges USD10k for the latest report, so... erm... no thanks tongue.gif)
*
FPGA outpacing ASIC? more like more companies are embracing FPGA due to it's rapid prototyping(which cuts a lot of cost compare to prototyping an ASIC which a single mask layout already cost an atom bomb(j/k tongue.gif)
it'll never be mainstream especially it's current structure, and also not to forget certain disadvantage like not being able to do programmable analogue mixed signal(but i heard there is already a programmable analogue device, think it was called FPAA)

QUOTE(ikanayam @ Jul 14 2006, 09:59 AM)
Embedded systems market is of course still nice and open. Probably the best bet. But i don't think FPGAs are competitive for any sort of mass production. But i'm an ASIC fanboi, so sue me tongue.gif

I have some much better thought out ideas on this but i'm not so sure i want to put (potentially) valuable IP on a public forum... laugh.gif

edit: added (potentially) for great justice
*
FPGA are never meant to be competitive at all, it's speed already puts it in some disadvantages, not to mention cost and utilizations etc
so, it's not bad being a "fanboy" of an ASIC tongue.gif, coz i believe it still rules in terms of catering for the mass market(even catering for 1 million clients is still consider niche in the current industrial standard


QUOTE(int19h @ Jul 14 2006, 10:12 AM)
... there's one more area where FPGA are used out of necessity: low volume systems. I've used production quality 4-socket ISDN line cards (meant for ISDN routers) that were powered by Xilinx FPGAs.

And no, I don't work for an FPGA vendor tongue.gif
*
no, not low volume, it's Xtremely low volume........but ISDN cards were like stoneage compare to current DSL, so i would suspect that during then, the embracement towards ASIC were a little lukewarm(especially ISDN since the response it received was lukewarm too tongue.gif)

This post has been edited by X10A Freedom: Jul 14 2006, 11:03 AM
int19h
post Jul 14 2006, 12:11 PM

Getting Started
**
Junior Member
78 posts

Joined: Jan 2003
From: KL


Btw guys, first of all lemme just say I've been enjoying this discussion, it's nice to get different information, views and arguments! But now I should get back to work lol... if any new posts I will follow up tmr or day after k tongue.gif

QUOTE(X10A Freedom @ Jul 14 2006, 01:03 PM)
so, it's not bad being a "fanboy" of an ASIC tongue.gif, coz i believe it still rules in terms of catering for the mass market
*
First you've got to reach the mass market. I'm not proposing that FPGAs replace ASICs. As others have pointed out, you start small and grow. Utilising FPGAs allows you to get products into the market early, and that allows you to:
a) Tweak your product as time goes by - EXTREMELY important in networking applications where vendors often screw up their implementation of protocols, so your product has to compensate for the screw-ups of others if customers are to think highly of you.
b) Wait for the market to grow.
If b) doesn't happen, it's not so tragic because you haven't over-committed yourself in terms of NRE.

The counter-argument would be to use general purpose programmable processors in the above 2 situations. The problem with that is
a) getting a favourable power/performance ratio within a specified form factor (big heatsinks may be a no-no)
b) you lose out on the possibility to eventually seamlessly take it to structured ASIC.
An obvious compromise is to utilise softcores for the "contentious" parts of the application, and move some suitable and universally standardized parts to custom logic.

And anyway, if you serve a niche low-volume market, there are perks too... less support issues, and you get to charge a premium for your product. We paid USD5k for our E1 line card. Starbridge Systems charges USD100k to oil companies for a special-purpose 7-FPGA seismic processing accelerator. I'm not sure how much TimeLogic charges for their bioinformatics accelerators. None of these companies is public-listed, so I'm not sure how well they are doing, but these niche markets wouldn't even exist at all if it weren't for breakthroughs in FPGA performance. And for the record, in bioinformatics there was a company called Paracel that tried to do acceleration on ASICs... FWIW they lost out in the market; I won't say exactly why because of course I don't have all the facts and figures (again they were a private company), but I'm sure you can detect my bias smile.gif

I'm not saying FPGAs pwn ASICs, I'm just saying that their recent performance gains opens up a lot of possibilities that previously simply weren't available. FPGAs may not end up in consumer's homes, but the market is bigger than that.

QUOTE(X10A Freedom @ Jul 14 2006, 01:03 PM)
but ISDN cards were like stoneage compare to current DSL, so i would suspect that during then, the embracement towards ASIC were a little lukewarm(especially ISDN since the response it received was lukewarm too tongue.gif)
*
(Now we've gone a bit OT for this thread, but anyway...)
E1 PRI (a standard for carrying multiple ISDN channels) is still used by enterprise and carriers as the backbone for digital voice due to it's ability to provide better QoS guarantees. Clearly VoIP seeks to, and will eventually, change that, but at least up until recently ISDN still got (gets?) some love. I'm out of that industry now though, the last system I commisioned in Malaysia was 2 years ago, so consider this info 2 years old.

I should probably clarify at this point: when I spoke of "4-socket ISDN" in an earlier post, I actually meant 4-socket E1... I said ISDN instead of E1 because I find more people know about ISDN than E1.

QD_buyer
post Jul 14 2006, 09:11 PM

John and Paul™
*******
Senior Member
2,047 posts

Joined: Dec 2004
From: Kampong Pisang
QUOTE(charge-n-go @ Jul 14 2006, 08:39 AM)
Hey dude, please dont spam here if you are not talking about electronics engineering related stuff.
*
sorry dude!
xjian1985
post Jul 14 2006, 10:18 PM

Getting Started
**
Junior Member
240 posts

Joined: Jan 2003
From: Kuala Lumpur


sorry for interrupt the topic, wanna ask wheather anyone familiar with microstrip circuit??
nub
post Jul 16 2006, 09:41 AM

Getting Started
**
Junior Member
265 posts

Joined: Apr 2005


i'm currently taking computer electronics in mmu, cyberjaya, 2nd year. so far i've managed to score computer related subjects(digital logic, programming, OS, etc) with no problems, since i'm really interested in those, but i'm kind of struggling with other subjects like field theory and electronics... ugh

i'm starting to wonder if i can graduate within 4 years.
ikanayam
post Jul 23 2006, 04:03 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

1. bumpety bump

2. silky, haven't seen you on for a while. Hope everything is going well on your end. Anyway, i'm getting a RS232 to TTL cable and Sony WinDAS to program my monitor's EEPROM. Remember i was telling you about my monitors being too bright and i need to adjust the G2 voltage? Well this thing can adjust a whole bunch of things besides G2 but i don't know what most of the settings mean so i was hoping the expert could help me figure some things out. Don't want to do it the trial and error way and risk screwing up my monitors.
ahtiven
post Aug 26 2006, 11:23 AM

New Member
******
Senior Member
1,185 posts

Joined: Aug 2005


computer engineering thread lol

anyway, im using student package modelsim from xilink, my uni provide them free. does anyone here know the exact coding of testbenches? i always can compile and load my project. just that there is no output waveform. and is hard to troubleshoot them. anyone here expert in writing testbenches? mind to share share? biggrin.gif

empire23
post Aug 26 2006, 02:07 PM

Team Island Hopper
Group Icon
Staff
9,417 posts

Joined: Jan 2003
From: Bladin Point, Northern Territory
QUOTE(ikanayam @ Jul 23 2006, 04:03 PM)
1. bumpety bump

2. silky, haven't seen you on for a while. Hope everything is going well on your end. Anyway, i'm getting a RS232 to TTL cable and Sony WinDAS to program my monitor's EEPROM. Remember i was telling you about my monitors being too bright and i need to adjust the G2 voltage? Well this thing can adjust a whole bunch of things besides G2 but i don't know what most of the settings mean so i was hoping the expert could help me figure some things out. Don't want to do it the trial and error way and risk screwing up my monitors.
*
If i'm correct there should should be a whole bunch of voltages. Although i'm only personally acustomed to using the "easier" method of using a monitor calibration and diagnostic machine so i don't know much. Might be good to post a tutorial or two from your endevours tongue.gif

Just don't fry yourself and become crispy or something tongue.gif, discharge em caps with load bleed resistor before going in. (Or is the TLL interface external? No experiences with Sony, only trained on Acer XD)

Anyways, any of you guys got the timing circuit implementation for the Intel series of chipsets, i would appreciate any diagrams or dingbattery you could throw at me.

This post has been edited by empire23: Aug 26 2006, 02:13 PM
SUSMuhammad Nur Hanief
post Sep 17 2006, 01:07 PM

On my way
****
Senior Member
555 posts

Joined: Feb 2006
From: Malaysia, UNITED STATES OF ASIA
anybody here know how to design the northbridge or southbridge? just wondering..
ikanayam
post Sep 17 2006, 01:16 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

I'm sure anyone who knows how to would not want to have anything to do with someone who can't even follow simple rules in the hardware section.
SUSMuhammad Nur Hanief
post Sep 17 2006, 01:37 PM

On my way
****
Senior Member
555 posts

Joined: Feb 2006
From: Malaysia, UNITED STATES OF ASIA
sorry boss I'm outy
ikanayam
post Sep 17 2006, 01:46 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Your question in this thread is perfectly legit. I was referring to your spamming activities in at least one other thread.

So what would you like to ask the IC designer?

This post has been edited by ikanayam: Sep 17 2006, 01:48 PM
ikanayam
post Oct 12 2006, 07:12 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Bump to save thread from oblivion.

Many interesting new developments in the CE world recently:
1. Sun open sourced niagara
2. K8L has already taped out
3. Next gen GPUs are almost here
4. PS3 and Cell
5. Intel.... i dunno... SSE4?

But of course, this is all just a cover for the bump.

This post has been edited by ikanayam: Oct 12 2006, 07:12 PM
empire23
post Oct 13 2006, 01:06 AM

Team Island Hopper
Group Icon
Staff
9,417 posts

Joined: Jan 2003
From: Bladin Point, Northern Territory
i dunno but i'm trying to program a high precision DAC for audio whilist utilizing a Xilinx Spartan lol and am having problems with output coupling and multiloop calculations.
ikanayam
post Oct 13 2006, 01:14 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

^ sauce (more details) pls!

give the specs and the project description or sth.
empire23
post Oct 13 2006, 04:06 AM

Team Island Hopper
Group Icon
Staff
9,417 posts

Joined: Jan 2003
From: Bladin Point, Northern Territory
QUOTE(ikanayam @ Oct 13 2006, 01:14 AM)
^ sauce (more details) pls!

give the specs and the project description or sth.
*
Ayam just in charge of the analog section and tramission specifications lol.

- Coupling (via caps or DC servos)
- Multiloop Calculations
- Power Supply requirements/calculations
- Regulation
- Board noise
- Component selection
- Shitty things with cheap gates for select buttans

It's generally a fully discreet Audio DAC/Home entertainment system that does it all that can be controlled via network to realize the concept of home intergrated entertainment. Utilizes RFID for tracking, we're trying to figure out voice based commands right now (i know it's stupid to get people to put tags on, but i guess in the future we'll have it under our skin or something)
ikanayam
post Oct 19 2006, 09:42 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

IBM: Clockspeed is NOT dead.

http://www.realworldtech.com/page.cfm?Arti...RWT101606194731
ikanayam
post Oct 24 2006, 04:54 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Shared L2 cache analysis (Core2):
http://www.digit-life.com/articles2/cpu/rmmt-l2-cache.html

Looks good, better than i expected. Now if only they included cache miss info as well...

Credits to almostthere for pointing out this one.
ikanayam
post Oct 27 2006, 11:32 AM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

edit: removed. i just realized that some of this stuff might be confidential. don't want some company stealing my idea lol. if you already got it, please stfu ok laugh.gif

This post has been edited by ikanayam: Oct 27 2006, 03:54 PM
TScharge-n-go
post Oct 27 2006, 03:18 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

hey, i dont have that [1] [2] [3] [4] [5] details la. Please post them here as well. I want help you and show face in microprocessor forum in future too laugh.gif
X10A Freedom
post Oct 27 2006, 03:36 PM

ZGMF-X20A Strike Freedom Gundam
*******
Senior Member
3,875 posts

Joined: Jan 2003
From: SJ


lol, are those papers available to us legally? tongue.gif
ikanayam
post Oct 27 2006, 03:38 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

In any case, we've already come up with what we think is a decent solution... tomorrow we'll find out if it's acceptable during our meeting with the professor.

Of course, if you think you have a good solution, feel free to suggest (privately). Be prepared to spend many many hours thinking though laugh.gif

This post has been edited by ikanayam: Oct 27 2006, 03:56 PM
TScharge-n-go
post Oct 27 2006, 04:05 PM

Look at all my stars!!
*******
Senior Member
4,060 posts

Joined: Jan 2003
From: Penang / PJ

QUOTE(ikanayam @ Oct 27 2006, 11:32 AM)
edit: removed. i just realized that some of this stuff might be confidential. don't want some company stealing my idea lol. if you already got it, please stfu ok laugh.gif
*
gosh, i've download them thru company server. dunno got somebody steal idea or not tongue.gif

Actually i have no good solution at all, just wanna gain some info on what you are doing laugh.gif
ikanayam
post Oct 27 2006, 04:14 PM

there are no pacts between fish and men
********
Senior Member
10,544 posts

Joined: Jan 2003
From: GMT +8:00

Don't worry about it. In a month or so it the paper will be done and i can post it wherever without my professor killing me laugh.gif
wilson88
post Oct 28 2006, 01:01 AM

Dupe Acc
******
Senior Member
1,807 posts

Joined: Jul 2005
From: World Wide Web
I am currently studying my 2nd sem in Computer Engineering smile.gif Great to join teh family tongue.gif

2 Pages  1 2 >Top
 

Change to:
| Lo-Fi Version
0.1839sec    0.99    6 queries    GZIP Disabled
Time is now: 20th December 2025 - 11:58 AM